skip to main content
10.1145/1531542.1531557acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
short-paper

An interconnect-aware delay model for dynamic voltage scaling in NM technologies

Published:10 May 2009Publication History

ABSTRACT

Employing microsystems with Dynamic Voltage Scaling (DVS) is an effective design solution to alleviate their energy consumption. The importance of such design technique keeps growing as both high-performance and low-energy consumption are simultaneously desirable. Existing Power Management Units (PMUs) that support DVS, mainly rely on the delay models valid for CMOS logic. In this work, we show that this may result into improper design and utilization of microsystems subject to DVS; as interconnect delay has become the dominant fraction of the total delay. In accordance with this design concern, we propose a modified delay model which encompasses the effect of interconnect parasitic components, and is suitable for accurate modeling, design and execution of DVS performed by PMUs in nanometer (nm) technologies. HSPICE simulations confirm that the proposed delay model is much more accurate when predicting the performance of a 4-section global H-Tree clock distribution network subject to voltage scaling. The error on predicted performance from true delays is reduced by up to a factor of 4.

References

  1. M. Horowitz, T. Indermaur, and R. Gonzalez, "Low-power digital design" Proceedings IEEE Symposium on Low Power Electronics, pp. 8--11, San Diego CA, October 1994.Google ScholarGoogle Scholar
  2. Sheets, M., Burghardt, F., Karalar, T., Ammer, J., Chee, Y., Rabaey, J, "A Power-Managed Protocol Processor for Wireless Sensor Networks", Symposium on VLSI Circuits, June 15-17, 2006.Google ScholarGoogle Scholar
  3. D. Takashima, et al., "Standby/Active Model Logic for Sub-1V Operating ULSI Memory" , IEEE Journal of Solid State Circuits, Vol 29, pp 441--447, 1994.Google ScholarGoogle ScholarCross RefCross Ref
  4. Zhai, B., Blaauw, D., Sylvester, D., and Flautner, K., "The limit of dynamic voltage scaling and insomniac dynamic voltage scaling, IEEE Trans. Very Large Scale Integrated Systems, 1239--1252, November 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. V. Kursun and E. G. Friedman. Multi-Voltage CMOS Circuit Design, West Sussex, England, John Wiley & Sons Press, 2006.Google ScholarGoogle Scholar
  6. Sinha, A., A. P. Chandrakasan, "Dynamic Power Management in Wireless Sensor Networks", IEEE Design and Test of Computers, pp. 62--76, March-April 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Shekhar Borkar, "Design Challenges of Technology Scaling", IEEE Micro, vol. 19, no. 4, pp. 23--29, Jul/Aug, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. P. Zarkesh-Ha, J. A. Davis, and J. D. Meindl, Prediction of Net-Length Distribution for Global Interconnects in a Heterogeneous System-on-a-Chip, IEEE Trans. VLSI, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Restle, P.J., McNamara, T.G., Webber, D.A., Camporese, P.J., Eng, K.F., Jenkins, K.A., Allen, D.H., Rohn, M.J., Quaranta, M.P., Boerstler, D.W., Alpert, C.J., Carter, C.A., Bailey, R.N., Petrovick, J.G., Krauter, B.L., and McCredie, B.D., "A clock distribution network for microprocessors", IEEE J. Solid--State Circuits, vol. 36, no.5, pp. 792--799, May 2001.Google ScholarGoogle ScholarCross RefCross Ref
  10. T. Sakurai, Approximation of Wiring Delay in MOS-FET LSI, IEEE Journal of Solid-State Circuits, vol. 4, 1983.Google ScholarGoogle Scholar
  11. J. Rabaey, A. Chandrakasan and B. Nikolic. Digital Integrated Circuits: A Design Perspective, 2nd edition, Prentice Hall, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Zhao, W. and Cao, Y., "New Generation of Predictive Technology Model for Sub-45nm Design Exploration, In Proceedings of IEEE international Symposium on Quality Electronic Design (ISQED), pp. 585--590, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Predictive Technology Model: www.eas.asu.edu/~ptm/.Google ScholarGoogle Scholar
  14. International Technology Roadmap for Semiconductors: www.itrs.net.Google ScholarGoogle Scholar

Index Terms

  1. An interconnect-aware delay model for dynamic voltage scaling in NM technologies

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          GLSVLSI '09: Proceedings of the 19th ACM Great Lakes symposium on VLSI
          May 2009
          558 pages
          ISBN:9781605585222
          DOI:10.1145/1531542

          Copyright © 2009 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 10 May 2009

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • short-paper

          Acceptance Rates

          Overall Acceptance Rate312of1,156submissions,27%

          Upcoming Conference

          GLSVLSI '24
          Great Lakes Symposium on VLSI 2024
          June 12 - 14, 2024
          Clearwater , FL , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader