skip to main content
10.1145/1146909.1146993acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Timing-based delay test for screening small delay defects

Published:24 July 2006Publication History

ABSTRACT

The delay fault test pattern set generated by timing unaware commercial ATPG tools mostly affects very short paths, thereby increasing the escape chance of smaller delay defects. These small delay defects might be activated on longer paths during functional operation and cause a timing failure. This paper presents an improved pattern generation technique for transition fault model, which provides a higher coverage of small delay defect that lie along the long paths, using a commercial no-timing ATPG tool. The proposed technique pre-processes the scan flip-flops based on their least slack path and the detectable delay defect size. A new delay defect size metric based on the affected path length and required increase in test frequency is developed. We then perform pattern generation and apply a novel pattern selection technique to screen test patterns affecting longer paths. Using this technique will provide the opportunity of using existing timing unaware ATPG tools as slack based ATPG. The resulting pattern set improves the defect screening capability of small delay defects.

References

  1. X. Lin, R. Press, J. Rajski, P. Reuter, T. Rinderknecht, B. Swanson and N. Tamarapalli, "High-Frequency, At-Speed Scan Testing," IEEE Design & Testof Computers, pp. 17--25, Sep-Oct 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. V. Jayaram, J. Saxena and K. Butler, Scan-Based Transition-Fault Test Can Do Job, EE Times, Oct. 2003.Google ScholarGoogle Scholar
  3. K. Cheng, "Transition Fault Testing for Sequential Circuits," IEEE Transactions on Computer-Aided Designof Integrated Circuits and Systems, vol. 12,no. 12,pp. 1971--1983, Dec. 1993.Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. T. M. Mak, A. Krstic, K. Cheng and L. Wang, "New challenges in delay testing of nanometer,multigigahertz designs," IEEE Design & Testof Computers, pp. 241--248, May-Jun 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. M. Bushnell and V. Agrawal, Essentials of Electronics Testing, Kluwer Publishers, 2000.Google ScholarGoogle Scholar
  6. Cadence Inc., "http://www.cadence.com,", 2005.Google ScholarGoogle Scholar
  7. Synopsys Inc., "User Manuals for SYNOPSYS Toolset Version 2004.06," Synopsys, Inc., 2004.Google ScholarGoogle Scholar
  8. H. Hao and E.J. McCluskey, "Very-low-voltage testing for weak CMOS logic ICs," in Proc. Int. Test Con. (ITC'93), pp. 275--284, 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. R. Foster, "Why Consider Screening, Burn-In, and 100-Percent Testing for Commercial Devices?," IEEE Transactions on Manufacturing Technology, vol. 5, no. 3, pp. 52--58, 1976.Google ScholarGoogle ScholarCross RefCross Ref
  10. P. Gupta and M. S. Hsiao, "ALAPTF: A new transition fault model and the ATPG algorithm," in Proc. Int. Test Conf. (ITC'04), pp. 1053--1060, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. A. K. Majhi, V. D. Agrawal, J. Jacob, L. M. Patnaik, "Line coverage of path delay faults," IEEE Trans. on Very Large Scale Integration (VLSI) Systems, vol. 8, no. 5, pp. 610--614, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. W. Qiu, J. Wang, D. M. H. Walker, D. Reddy, X. Lu, Z. Li, W. Shi and H. Balichandran, "K Longest Paths Per Gate (KLPG) Test Generation for Scan-Based Sequential Circuits," in Proc. Int. Test Conf. (ITC'04), pp. 223--231, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. B. Kruseman, A. K. Majhi, G. Gronthoud and S. Eichenberger, "On hazard-free patterns for fine-delay fault testing," in Proc. Int. Test Conf. (ITC'04), pp. 213--222, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Saxena, K. M. Butler, V. B. Jayaram, N. V. Arvind, P. Sreeprakash and M. Hachingerr, "A Case Study of IR-Drop in Structured At-Speed Testing," in Proc. Int. Test Conf. (ITC'03), pp. 1098--1104, 2003.Google ScholarGoogle Scholar
  15. J. Rearick and R. Rodgers, "Calibrating Clock Stretch During AC Scan Testing," in Proc. Int. Test Conf. (ITC'05), 2005.Google ScholarGoogle Scholar
  16. B. Benware, C. Schuermyer, N. Tamarapalli, Kun-Han Tsai, S. Ranganathan, R. Madge, J. Rajski and P. Krishnamurthy, "Impact of multiple-detect test patterns on product quality," in Proc. Int. Test Conf. (ITC'03), pp. 1031--1040, 2003.Google ScholarGoogle Scholar
  17. B.N. Lee, L. C. Wang and M. S. Abadir, "Reducing pattern delay variations for screening frequency dependent defects," in Proc. VLSI Test Symp. (VTS'05), pp. 153--160, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Timing-based delay test for screening small delay defects

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '06: Proceedings of the 43rd annual Design Automation Conference
        July 2006
        1166 pages
        ISBN:1595933816
        DOI:10.1145/1146909

        Copyright © 2006 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 24 July 2006

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader