BROOM: An Open-Source Out-of-Order Processor With Resilient Low-Voltage Operation in 28-nm CMOS | IEEE Journals & Magazine | IEEE Xplore