Main

Photoresists are a workhorse tool for the semiconductor industry; they enable creation of small-scale structures using continuously evolving strategies to form objects of the nanoscale dimensions are needed to stay on the technology path of Moore's law. Simply put, photoresists transfer information using a light-driven process that makes them (often a polymer) either more or less soluble in the exposed region of the film. As dimensions have changed, so too have the chemistry and materials sets used in lithography. Originally requiring critical features of microns, photoresists such as cyclized polyisoprene were used.1 Subsequently, novolac photoresists were developed and formed a water-soluble exposed material, avoiding the need for organic solvents that swelled the photoresist during development while operating at a shorter wavelength than prior resists, thus enabling smaller, more uniform features.2 The creation of novolac resists set up a trend in photoresist design: a water developable material, working at a shorter and shorter wavelength to enable a finer feature size and adjusted composition to maintain sufficient transparency for pattern formation. This approach has carried forward through several generations of photoresists built around the principle of chemical amplification.

A team of researchers with expertise in photoresists, polymer-supported chemistry and protein synthesis worked at the IBM Almaden Research (San Jose, CA, USA) to tackle a new challenge in photolithography: to develop a photoresist that needed very little radiation to cause the solubility change needed during the patterning step.3 Their concern was that the mercury light source being used to create UV radiation was increasingly weak as shorter wavelengths were used. Hence, a new process was needed that made better use of the imaging radiation.

By using acid catalysis driven by a proton created in a light-induced decomposition of a photoacid generator, a single photon was shown to initiate hundreds of reactions. The resulting chemical amplification caused by that single photon was shown to overcome the limited radiation present in light sources of the time. However, the process also did something else arguably more important; it enabled a solubility change from the oil-soluble polymer covered by protecting groups to one that was soluble in aqueous base. The solubility contrast that was made enabled superior patterning abilities and led to its ongoing use as strong laser sources were developed and new imaging wavelengths were implemented. This technology constitutes the major patterning strategy used in today's high-resolution 193-nm photoresists to manufacture most high-performance microelectronics.

Polymer resists constitute a major part of the state-of-the-art materials in photolithography. They need to meet a set of requirements such as transparency at the imaging wavelength to deliver superior resolution and image quality, etch resistance and of course high contrast and sensitivity. Beyond the classification based on the imaging tone and the number of components, resists are classified based on radiation sources used to expose them: (i) deep UV (DUV), (ii) extreme UV (EUV), (iii) X-ray and (iv) e-beam resists. The need for higher-resolution and smaller pattern size has necessitated a shift from g-line (436 nm) to i-line (365 nm) of Hg discharge lamps and to DUV sources at 254 nm. The Hg discharge lamp having a small output at 254 nm was soon replaced by KrF excimer lasers, which seemed to be a reasonable solution. However, the many optical elements between the laser source and the wafer markedly reduced any radiation output. The 248 nm DUV lithography uses chemically amplified positive resists, whereas DUV technology using ArF excimer lasers at 193 nm was implemented for critical dimensions (CDs) of <130 nm. Subsequently, F2 excimer lasers emitting at 157 nm were also used for a short experimental period before being abandoned. All these technologies below 248 nm, and also the next-generation technologies like EUV, e-beam and X-ray imaging, benefit from chemically amplified resists.

In this report, we present a focused overview of polymer photoresists that have been studied in our groups over the past two decades. Selected results are discussed with emphasis on the choice of polymers depending on the particulars of each radiation type, while we adopt simplifications that allow for easy comparison between the different polymer photoresists using various radiation sources. Our discussion progresses to molecular glass (MG) resists that combine the characteristic properties of small molecules, such as high-purity and well-defined structure, with beneficial aspects of polymers such as high thermal stability and thin film-forming properties. In contrast to conventional polymer-type photoresists, MGs can be synthesized with well-defined control of molecular weight, compositional and stereochemical factors, so that a precise material can be obtained after each synthetic step. As target feature size was further decreased, polymer use in conventional photoresists suggest that defects in the pattern edge areas may be in the range of 5–8 nm (polymer confirmation size); the latter cannot be neglected compared with the decreasing target feature size (sub-30 nm), hence the last section of the review paper pertains to metal oxide resists with significantly higher etch resistance and nm particle size. Brief discussions of a number of important preparation and property issues pertaining to key characteristics affecting resist performance are also included.

Polymer resists for 193 nm lithography

For 193 nm lithography, Gabor et al.4, 5 focused on block and random copolymers using tert-butyl methacrylate (t-BMA) and a siloxane tert-butyl methacrylate[3-(methacryloxy)propyl] pentamethyldisiloxane (Figure 1a). They showed that block copolymers develop better in aqueous base than the corresponding random copolymers because of the higher percentage of the tert-butyl units that are cleaved in block copolymers and also because they can potentially develop in a micellar manner, where only one block needs to be soluble in the aqueous base. They also observed different behavior after development, when photoactive generator was used; photoactive generator avoids the extremely hydrophobic silicon-containing segments and resides preferentially in the P(t-BMA) phase.

Figure 1
figure 1

(a) Chemical structures of tert-butyl methacrylate (t-BMA) and siloxane confining tert-butyl methacrylate[3-(methacryloxy)propyl] pentamethyldisiloxane. (b) Chemical structures poly(AF-co-THPMA), where AF is 2-[4-(2-hydroxyhexafluoro isopropyl)cyclohexane]hexafluoroisopropyl acrylate. (c) Hydrosilylation of a styrene-diene block copolymer with PMDS. (d) Random copolymers studied herein containing chloromethylstyrene and trimethylsilyl methyl-4-vinylbenzoate (CTMV) and nitroxide-terminated poly(p-chloromethylstyrene) (PCMS). (e) Poly(trimethylsilylstyrene-co-hydroxystyrene) (PTMSS-c-HS-P) and pentamethyldisilylstyrene (PMDSS-c-HS-P), where R represents different protecting groups.

Another desired characteristic for 193 nm immersion lithography was resists with increased refractive index and Zimmerman et al.6 turned to the investigation of inorganic species, specifically nanoparticles (NPs) that could be transparent at 193 nm and possess very high refractive index. Their NPs with diameters ranging between 2 and 5 nm were cast into photoresist films and along with good imaging performance showed an absorbance of ~2.5/μm and a refractive index ~2.0.

Polymer resists for 157 nm lithography

One of the most challenging issues in the design of photoresists for 157 nm lithography7, 8 has been the transparency of the polymer platforms. A series of copolymers based, for instance, on tetrahydropyranyl methacrylate (THPMA) (Figure 1b) were synthesized and proved that they can be used as resist platforms for 157 nm lithography, while similar backbones used in 248 nm resists was also possible at 157 nm lithography.

To balance transparency with other desirable traits such as etch resistance, adamantyl groups were incorporated into acrylate systems such as 2-methyl-2-adamantyl trifluoroacrylate instead of the tetrahydropyranyl (THP) group and the resulting polymer had unexpectedly high transparency at 157 nm despite carbonyl groups in all monomers. Using the same platform, α-fluorinated acrylates9 were chosen instead of α-trifluoromethyl substituents. The polyoctahedral silsesquioxane group was also used to improve etch resistance and polyoctahedral silsesquioxane-containing non-fluorinated acrylate copolymers showed absorbance of 3.0–3.3 μm−1 at 157 nm.

Incorporation of a trifluoromethyl group on the α-position of acrylate polymers has been one of the best ways to enhance transparency of polymers at 157 nm. Vohra et al.10 synthesized new monomers having fluorine adjacent to a double bond such as trifluoromethylene group and because of the lower electron density of the double bond, these monomers could be copolymerized with electron-rich vinyl monomers using radical initiators. As an extension of this strategy, they have designed novel fluoropolymers having partially fluorinated monocyclic structure with radical cyclopolymerization. These polymers have the C–F bond on the polymer main chain and possess acid-labile groups as part of the ring, which eliminates degassing.

Fluorinated diesters as dissolution inhibitors were synthesized for 157 nm lithography resists as well.11 Addition of the best-performing dissolution inhibitor, cyclohexane-1,4-dicarboxylic acid bis-(1-cyclohexyl-2,2,2-trifluoro-1-methyl-ethyl) ester), to candidate 157 nm photoresist polymers, Duvcor, improves the imaging behavior of these polymers.

E-beam polymer resists

Silicon-containing block copolymers can offer many desirable features for high-resolution resist materials, especially when oxygen plasma etch resistance is required. Gabor et al.12 in very early work concentrated on attaching hydrosilanes to styrene-butadiene, poly(styrene-b-isoprene) and poly(isoprene) using Pt-DTD as a catalyst and it was the first time that hydrosilylation (Figure 1c) of poly(isoprene) was reported at atmospheric pressure.

Silicon-based styrenic monomer chloromethylstyrene and trimethylsilyl methyl-4-vinylbenzoate (Figure 1d) was used for a new family of random copolymers using living radical polymerization and was shown that there was an improved resolution for the polymers with the narrower molecular weight distribution.13

Based on the characteristics of C60 and its derivatives as resist materials with a high dry-etch durability Okamura et al.14 studied a nitroxide-terminated poly(p-chloromethylstyrene) (Figure 1d) and a series of copolymers of p-chloromethylstyrene and p-hydroxystyrene (HS) introducing them to a C60 moiety. It was expected that incorporation of a hydroxy group into the C60-containing polymers would increase adhesion to the substrate and these polymers gave clean, negative-tone patterns with a 50-nm resolution and high sensitivity.14

Polymers for EUV lithography

To create higher-resolution patterns, it is a natural progression to move from DUV radiation to much shorter wavelengths such as EUV radiation at 13.5 nm. The aim of this work was to design and synthesize resists using only low absorbing elements such as H, C, Si, B and P.15 Using either silicon-containing monomers or hydrosilylation chemistry, several types of block and random silicon-containing resists were synthesized. Structures of silicon-containing positive-tone polymers with16 (a) PTMSS-c-HS-P and (b) PPMDSS-c-HS-P (Figure 1e) were also prepared. The etch rates of two types of silicon-containing polymers were lower compared with APEX E under various etch conditions and both line edge roughness and its dependence on exposure dose decreases when postexposure bake temperature increases from 110 °C to 120 °C.

In more recent work two new polymer platforms were devised.17 In the first approach, norbornene-based copolymers were synthesized using ring-opening metathesis polymerization and in the second approach, polysilane was copolymerized with acid-sensitive monomers and we concluded that they fulfill the material properties desired in an EUV resist.

Boron-containing block copolymers, negative-tone resists were also prepared by the esterification of a boron-containing group,18 while the need for high transparency and at the same time very low levels of outgassing of silicon-containing fragments led to new platforms for polymer resists.19 Modifying previous generation lithography platforms and incorporating polysilane increasing Tg (glass transition temperature) was to achieve dimensional stability, while the presence of silsesquizanes, a Si-N analog of silsisquioxanes with a branched structure, also increased Tg.

Exploring self-assembly of block copolymers

Self-assembly of block copolymers stands out as a promising, potentially lower cost alternative to other technologies such as e-beam or nanoimprint lithography. Schwartz et al.20 used a first-generation block polymer, poly(α-methylstyrene-block-hydroxystyrene) (Figure 2a) with the hydroxyl functionality of polyhydroxystyrene (PHOST) allowing photochemical crosslinking, when blended with photoactive generators and crosslinkers. By annealing the film first in acetone, crosslinking the film using UV light and then switching the uncrosslinked areas using THF vapor, two different morphologies on one layer of block copolymer film were demonstrated for the first time (Figure 2b). To increase the resistance of the photoresist to plasma etching inorganic particles such as organosilicate, methyl silsesquioxane (Mn=10 –12 k g mol−1; Techneglas, Pittston, PA, USA) were incorporated.

Figure 2
figure 2

(a) Chemical structures of poly-2,2,2-trifluoroethyl methacrylate (PTFEMA) and poly(α-methylstyrene-block-hydroxystyrene) (PαMS-b-PHOST). (b) Atomic force microscopy (AFM) micrographs and accompanying grazing-incidence small-angle X-ray scattering (GISAXS) profiles for PαMS-b-PHOST (Mn=7K/14K) before (upper left) and after (lower left) acetone annealing and PαMS-b-PHOST (Mn=18K/35K) before (upper right) and after (lower right) tetrahydrofuran (THF) annealing. (c) Schematic representation of the laser-spike annealing (LSA) process. Reprinted with permission from Copyright 2012 American Chemical Society.

A new strategy in block copolymers for lithography applications was employed, where a segment in a block copolymer would have characteristics of being both 'degradable' and 'strongly immiscible' with the other blocks and that was achieved by introducing poly-2,2,2-trifluoroethyl methacrylate (PTFEMA) (Figure 2a) via anionic polymerization or ATRP with a goal of development of novel functional resist that has 'dual mode patternability'.21

Another way of exploring self-assembly of block copolymers was using laser-spike annealing (LSA), a method developed by Cornell researchers (Figure 2c). LSA directs a pulsed laser beam to perform the same function as the one achieved using a hot plate for a minute or more, but in milliseconds, thus saving time among other advantages (vide infra). Testing has revealed that line edge roughness caused by diffusion in the baking method is decreased, resulting in higher fidelity image quality for lithographic patterns. The poly (styrene-block-methyl methacrylate) (PS-b-PMMA) system has been extensively explored and it was found that using a single 10 ms LSA scan at 500 oC followed by a 2 min hot plate anneal reduced overall defectivity by 80%.22 Beyond self-assembly of block copolymers, LSA was used to control CD and linewidth roughness.23 For 11nm half-pitch resolutions, the International Technology Roadmap for Semiconductors suggests a control of CD and linewidth roughness to <1 and 0.9 nm, respectively. Three commercially available resists were investigated including an acrylate-based polymer and two hybrid polymers (copolymers containing both poly(hydroxystyrene). In all three systems, initial linewidth roughness was between 5.3 and 6.4 nm, which decreased to 2.6 and 3.1 nm following laser hardbake, while changes in CD were <1nm. Beyond improvement in pattern quality that was already seen using LSA, this laser technique was used to access and characterize different chemical outcomes and kinetic regimes for photolithography.24

Special polymer architectures for lithography

Chaoet al.25 and Wieberger et al.26 investigated for the first time block copolymers as high-performance resist materials. The tailored star block copolymers were synthesized using the core-first ATRP route by the full conversion of a first monomer and in situ polymerization of additional added monomer, resulting in narrow polydispersity (polydispersity index)<1.2). Monomers that are used for high-performance polymer photoresists for 193 nm lithography and also for EUV (13.5 nm) and e-beam lithography such as α-gamma butyrolactone methacrylate (GBLMA) as lactone monomer, methyl adamantyl methacrylate (MAMA) as an acid-labile leaving monomer and hydroxyl adamantyl methacrylate (HAMA) as a polar monomer were selected for the synthesis of our reference linear terpolymer ran-L (composed of GBLMA/MAMA/HAMA), as well as the random star copolymers ran-S1 (GBLMA/MAMA/HAMA) and ran-S2 (GBLMA/MAMA) (Figure 3).The new star block copolymers demonstrated excellent solubility contrast in the exposed state and their sensitivity increased up to eight times in comparison with the reference linear polymer.

Figure 3
figure 3

Linear terpolymer ran-L (composed of GBLMA/MAMA/HAMA) as well as the random star copolymers ran-S1 (GBLMA/MAMA/HAMA) and ran-S2 (GBLMA/MAMA), where α-gamma butyrolactone methacrylate (GBLMA), methyl adamantyl methacrylate (MAMA) and hydroxyl adamantyl methacrylate (HAMA) monomers.

Fluorinated photoresists for orthogonal patterning

Organic electronics is an emerging technology opening new opportunities in the field of large-area electronics. However, patterning of organic materials for devices remains one of the major challenges to be overcome, because of the problems in chemical processing. Recently, Ober's group27, 28, 29 have identified supercritical carbon dioxide (scCO2) and hydrofluoroethers (HFEs) (Figure 4b) as universally non-damaging solvents for non-fluorinated polymeric materials. These unconventional solvents expand processing options from the two-dimensional plane to three-dimensional space by drawing another orthogonal axis (as shown in Figure 4a).

Figure 4
figure 4

(a) Orthogonal solvents to conventional process media. (b) Chemical structures of hydrofluoroethers (HFEs) solvents. (c) Imaging mechanism of fluorinated polymers THPMA-co-FMA using supercritical carbon dioxide (scCO2) as developer. (d) Chemical reaction for imaging process of fluorinated resorcinarene (RF-resorcinarene). (e) Photo-induced deprotection reaction of a non-chemically amplified photoresist P(FDMA-NBMA). (f) Schematic illustration of poly(3,4-ethylenedioxy thiophene):poly(styrene sulfonate) (PEDOT:PSS)/pentacene device fabrication. (g) Atomic force microscopy (AFM) images and optical image of pentacene channel between PEDOT:PSS electrodes. (f) and (g) are reproduced with permission of Adv. Mater. 21, 2314 (2009). Copyright 2009 John Wiley & Sons, Inc. THPMA, tetrahydropyranyl methacrylate.

Dry photolithographic patterning in scCO2

scCO2 has received increasing attention as an alternative to traditional aqueous/organic solvents, which possesses lots of unique features, including non-flammability, non-toxicity, low cost, low surface tension and high diffusivity. Besides, scCO2 demonstrates strong dissolving power to fluorinated materials, while it does not interact with most of non-fluorinated polymers. Using this feature, fluorinated polymer THPMA-co-FMA were developed (Figure 4c), which demonstrated 100 nm patterns upon scCO2 developing. After exposure to e-beam, the THPMA block was converted to a more polar methacrylic acid, which is insoluble in scCO2, giving rise to a solubility change. In 2009, Taylor et al.27 extended this patterning ability of P(FDMA-TBMA) on various substrates, such as silicon wafer, glass, poly(3,4-ethylenedioxy thiophene):poly(styrene sulfonate) (PEDOT:PSS), aluminum and parylene-C.27 Meanwhile, to further demonstrate the applicability of scCO2-based orthogonal lithographic method, Lee et al.29 fabricated a light-emitting device with a patterned light-emitting layer on top of PEDOT:PSS film. Interestingly, the patterned device showed similar performance to an unpatterned pristine device because of the high dissolving selectivity of scCO2 on fluorinated to non-fluorinated organics.

Photolithographic patterning in HFE solvents

HFEs possess strong dissolving power to fluorinated materials, while they do not interact with most of non-fluorinated polymers, thus demonstrating strong potential as processing medium for orthogonal photolithography, especially in the application of patterning on the organic electronic devices. In another work of Zakhidov et al.28 processing of organic electronic films was proven to be safe under PFE medium and even under extreme conditions such as boiling temperatures, and they do not cause any dissolution, cracking, delamination or other unfavorable physical or chemical damage.28

Chemically amplified patterning in HFE solvents

Recently, Ober's group have reported the resorcinarene derivative-based resist, which shows excellent patterning performance. In 2009, Lee et al.29 extended this chemically amplified photoresist patterning to orthogonal HFEs solvents. As shown in Figure 4d, the fluorinated resorcinarene, RF-resorcinarene, was composed of four semi-perfluoroalkyl chains and eight tert-butoxycarbonyl (t-Boc) groups. The RF-resorcinarene is soluble in HFEs, while the acid catalyzed deprotecting reaction of t-Boc group transforms RF-resorcinarene to an insoluble form in HFEs, which makes it a negative-tone resist.

Non-chemically amplified patterning in HFE solvents

PEDOT:PSS is a flexible, transparent and highly conductive polymer blend, which has found various applications in organic electronics. However, photolithographic patterning of PEDOT:PSS for devices is not straightforward, because of the fact that PEDOT:PSS films will be damaged by aqueous solutions, while acid-sensitive photoresists will be affected by the acidic PSS. To address this issue, Lee et al.29 demonstrated the acid-inert non-chemically amplified photoresist for the patterning of PEDOT:PSS film. In this work, a copolymer P(FDMA-NBMA) composed of a fluorinated monomer and a photo-labile constituent was synthesized to yield a material that exhibits a solubility switch upon UV irradiation (Figure 4e).

Using this strategy, Taylor et al.27 demonstrated the fabrication of a field-effect transistor using an organic semiconductor material, pentacene; a simple bottom-contact organic thin film transistors was fabricated with a patterned pentacene film on top of patterned PEDOT:PSS source and drain electrodes (Figures 4 f and g).

Unconventional, non-polymeric photoresists: MG photoresists

Amorphous molecular materials termed MG combine the characteristic properties of small molecules, such as high-purity and well-defined structure, with beneficial aspects of polymers such as high thermal stability and thin film-forming properties. In contrast to conventional polymer-type photoresists, MGs can be repeatedly synthesized with well-defined control of molecular weight, compositional and stereochemical factors, so that a precise material can be obtained after each synthetic step.

MG resists based on branched architectures

Short arms that radiate from a rigid core constitutes the branched architectures, in which the core has an important role in the ability to vitrify, while the arms that radiate from the core need to have a low length-to-breadth ratio to increase bulkiness. Based on these concepts, various MGs based on the branched structures have been reported, and here we will introduce some typical examples among them.

In 2005, Tsuchiya et al.30 reported the hexa(t-butoxy-carbonyloxyphenyl) benzene- (as shown in Figure 5a) based positive-tone photoresists. t-Boc units on this branched MG can undergo chemically amplified reaction in the presence of acid, and induce dissolving behavior changes in the developing process, and as a result, 200 nm 1:1 positive-tone patterns were obtained upon e-beam exposure. To further explore the relationship between architecture design and the glass-forming behavior, as well as the lithographic performance, De Silva et al.31 synthesized a family of phenolic MGs with variable size and different branch architectures (Figure 5b). They found Tg was increased along with molecular weight, while the Tg values of the partially and fully protected phenolic compounds are lower than those obtained for their unprotected counterparts. Based on this work, De Silva et al.32 first reported sub-30 nm feature sizes achieved by hydroxyphenylbenzene derivative-based MGs.

Figure 5
figure 5

(a) Scheme of hexa(hydroxylphenyl)benzene-based molecular glasses (MGs). (b) Scheme of phenolic MGs with variable size and branch architecture. (c) The deprotection mechanism for positive-tone patterning. (d) The crosslinking mechanism for negative-tone patterning. A full color version of this figure is available at the Polymer Journal journal online.

To further improve the lithographic performance, Dai et al.33 designed two t-Boc-protected phenols, MG2-t-Boc and MG4-t-Boc. Using the MG2-OH and MG4-OH derivatives, dual tone patterning capacity was demonstrated: for the negative-tone patterning, a three-component system composed of a hydroxyl-containing molecule (MG2-OH or MG4-OH), powderlink cross-linker (TMMGU) and photoacid generator were used (Figure 5d); meanwhile, using the photoacid-catalyzed deprotection reaction of t-Boc groups, positive-tone patterns were obtained (Figure 5c).

MG resists based on cyclic architectures

The cyclic architectures possess high Tg because of their reduced molecular flexibility and high thermal stabilities, while the structural similarity of calix[n]arene and calyx[4]resorcinarene to well-known novolac- and PHOST-based resists have promoted their use as photoresists. These molecules can be obtained as a mixture of various isomers that prevent crystallization and display excellent film-forming characteristics.

In 2006, Chang et al.34 reported a series of t-Boc-protected C-4-hydroxyphenyl-calix[4]resorcinarenes (Figure 6a) derivatives as positive-tone resists for EUV lithography. It was the first report on the calixarene-based resist for EUV lithography, and 30 nm positive-tone patterns were achieved. To expand the calix[4]resorcinarenes-based MGs, Felix et al.35 synthesized a family of calix[4]resorcinarene derivatives with variable size and different architectures (Figure 6b). Among the compounds studied in this work, the C-TMSB-4- R-100 t-Boc was revealed to be the best candidate and achieved 70 nm patterns upon e-beam exposure.

Figure 6
figure 6

(a) The synthesis of tert-butoxycarbonyl- (t-Boc) protected C-4-hydroxyphenyl-calix[4]resorcinarene. (b) Chemical structures of molecular glasses based on calix[4]resorcinarene cores. (c) Chemical structures of t-Boc-protected tert-butyl cholate, tert-butyl ester of methylated β-cyclodextrin. (d) Scanning electron microscope (SEM) images of tert-butyl cholate (MBBC) and (e) methylated β-cyclodextrin (BMCD), patterned by e-beam lithography and developed in supercritical carbon dioxide (scCO2). (d) and (e) are reproduced with permission of Proc. SPIE 7273, 72732T (2009). Copyright 2009 SPIE, the International Society for Optics and Photonics. A full color version of this figure is available at the Polymer Journal journal online.

To improve the Tg and film-forming ability, Andre et al.36 developed a bulky phenol structure, t-Boc-protected ‘Noria-Boc’. These bulky phenolic MGs were found to be amorphous materials with high Tg (120 °C) and excellent film-forming properties. Based on this bulky phenol structure design, Sha et al.37 introduced alicyclic material-based cyclic MG. The methylated β-cyclodextrin and cholic acid derivatives (as showed in Figure 6c) with acid-labile protecting groups form good amorphous thin films with high Tg (>100 °C). Using scCO2 development, 150 nm patterns were achieved upon e-beam exposure (Figures 6d and e).

Metal-oxide NP-based photoresists

Thinner films limit the ability to transfer the pattern to the substrate during etch steps, for the sub-30 nm node, the critical aspect ratio will be <2:1. Meanwhile, as the feature size was continuously decreasing, the size of polymer chains in conventional photoresists could not be neglected. To address this problem, Prof. Ober’s group and Prof. Giannelis’s group at the Cornell University have developed an inorganic–organic hybrid NP system with significantly higher etch resistance and small particle size (Figure 7a). These NP photoresists show promising lithographic performance using DUV, e-beam and EUV exposure; meanwhile, their superior etch resistance and extremely small constituent size are clear advantages for ultimate resolution patterning.

Figure 7
figure 7

(a) Scheme of metal-oxide nanoparticle-based photoresists; line-and-space patterns of (b), hafnium oxide-trans-dimethyl acrylic acid (HfO2-DMA) nanoparticle and (c) zirconium oxide (ZrO2)-DMA nanoparticle patterned by EUV lithography. (b and c) are reproduced with permission of J. Photopolym. Sci. Technol. 27, 663 (2014). Copyright 2014 the Society of Photopolymer Science and Technology.

In 2010, Trikeriotis and co-workers.38 reported the first example of the hafnium oxide NP-based resist.38 The hafnium oxide NPs are used as a core to build the inorganic nanocomposite into an imageable photoresist (Figure 7a). Uniform thin films can be spin coated on Si wafers using PGMEA dispersions of the NPs. Very interestingly, the NP films with 2,2-dimethoxy-2-phenyl acetophenone as a photoinitiator can act both as a positive and negative-tone photoresist. After exposure under DUV light (254 or 193 nm) and development in isopropyl alcohol or t-amyl alcohol gives a negative-tone image, while postexposure bake at 130 °C for 3 min and then developing in tetrametylammonium hydroxide leads to positive-tone patterns. In 2011, Krysak and co-workers39 and Krysak et al.40 reported the improvement of lithographic and etch performance for this hafnium oxide NPs. Under e-beam lithography, 40 nm 1:1 line-and-space negative patterns were achieved at the exposure dose of 30 μC cm2. Compared with previous report,38 the etch resistance was significantly improved, and achieved 68 times more etch resistance than that of PHOST.

In 2012 Trikeriotis and co-workers41 and Trikeriotis et al.42 reported the first example of the zirconium oxide NPs based resist. Under EUV, 32 nm wide patterns with a line edge roughness of 5.9 nm and 26 nm wide patterns with a line edge roughness of 3.8 nm were obtained. In 2014, Jiang et al.43 and Chakrabarty et al.44 further improved the lithographic performance of the zirconium oxide NPs. The trans-dimethyl acrylic acid (DMA) was used to replace methacrylic acid as the carboxyl ligand in the zirconium oxide/hafnium oxide NPs.41, 42 The resulting NPs demonstrated extremely high EUV sensitivity, and achieved 20 nm patterns at a dose of 2.4 and 1.6 mJ cm2 for HfO2-DMA (hafnium oxide-trans-dimethyl acrylic acid) and ZrO2-DMA, respectively (Figures 7b and c).

Future prospects

Obeying Moore’s law the microelectronics industry is focusing on the manufacture of increasingly small nanostructures, while at the same time has to deal with the accompanying challenges; nanostructures must be reliable, reproducible and inexpensive. The rapid progress of resist technology by virtue of the changes in radiation sources has led to resists that show better functionality and potential for feature sizes of just a few tens of nanometers.

We have attempted through this article to give an overview of our route and eventually contribution to the advancement of photoresist materials, while describing evolving efforts to understand and improve photoresist materials. While polymer resists constitute a major part of the state-of-the-art materials in photolithography, as dimensions have changed, so too have the chemistry and materials sets used in lithography. MG resists combine the characteristic properties of small molecules, such as high-purity and well-defined structure, with beneficial aspects of polymers such as high thermal stability and thin film-forming properties. Metal-based resists show significantly higher etch resistance and small particle size, whereas at the same time display promising lithographic performance using DUV, e-beam and EUV exposure.