Skip to main content
Log in

Machine Learning Based Resource Utilization and Pre-estimation for Network on Chip (NoC) Communication

  • Published:
Wireless Personal Communications Aims and scope Submit manuscript

Abstract

Network on chip (NoC) is the solution to solve the problem of larger system on chip and bus based communication system. NoC provides scalable, highly reliable and modular approach for on chip communication and related problems. The wireless communication technologies such as IEEE 802.15.4 Zigbee technology follow mesh, star and cluster tree topology. The paper focuses on the development of machine learning model for design and FPGA synthesis of mesh, ring and fat tree NoC for different cluster size (N = 2, 4, 8, 16, 32, 64, 128 and 256). The fat-tree based topologies incorporate more links near the root of the tree, in order to fulfill the requirement for higher communication demand closer to the root of the tree, as compared to its leafs. It is an indirect topology in which not all routers are identical in terms of number of ports connecting to other routers or elements in the network. The research article presents the use of machine learning techniques to predict the FPGA resource utilization for NoC in advance. The present study helps in NoC chip planning before designing the chip itself by taking into account known hardware design parameters, memory utilization and timing parameters such as minimum and maximum period, frequency support etc. The machine learning is carried out based on multiple linear regression, decision tree regression and random forest regression which estimate the accuracy of the design and good performance. The interprocess communication among nodes is verified using Virtex-5 FPGA, in which data flows in packets and can vary up to ‘n’ bit. The designs are developed in Xilinx ISE 14.2 and simulated in Modelsim 10.1b with the help of VHDL programming language. The developed model has been validated and has performed well on independent test data.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13

Similar content being viewed by others

References

  1. Hansson, A., Goossens, K., & Radulescu, A. (2007). A unified approach to mapping and routing on a network-on-chip for both best-effort and guaranteed service traffic. Hindawi Publishing Corporation VLSI Design, 1–16.

  2. Neeb, C., Thul, M. J., & When, N. (2005). Network-on-chip-centric approach to interleaving in high throughput channel decoders. In IEEE international symposium on circuits and systems (ISCAS), Kobe, Japan (pp. 1766–1769).

  3. Bergamaschi, R. A., & Cohn, J. (2002). The A to Z of SoCs. In Proceedings of the IEEE/ACM international conference on computer aided design (ICCAD), Yorktown Heights (pp. 791–798).

  4. Design & Reuse. http://www.design-reuse.com/sip/. Accessed May 2011.

  5. Wiklund, D., & Liu, D. (2003). SoCBUS: Switched network on chip for hard real time embedded systems. In Parallel and distributed processing symposium (pp. 8–9).

  6. Pasricha, S., Dutt, N., & Ben-Romdhane, M. (2006). Constraint-driven bus matrix synthesis for MPSoC. In Proceedings of the Asia and South Pacific conference on design automation (ASPDAC), Yokohama (pp. 30–35).

  7. Lu, R., & Koh, C.-K. (2003). Samba-BUS: High performance BUS architecture for system-on-chips. In Proceedings of the IEEE/ACM international conference on computer aided design (ICCAD), San Jose (pp. 8–12).

  8. Metra, C., Favalli, M., & Riccó, B. (2000). Self-checking detection and diagnosis scheme for transient, delay and crosstalk faults affecting bus lines. IEEE Transactions on Computers, 49, 560–574.

    Article  Google Scholar 

  9. Rossi, D., Nieuwland, A. K., van Dijk, S. V. E. S., Kleihorst, R. P., & Metra, C. (2008). Power consumption of fault tolerant busses. IEEE Transactions on Very Large Scale Integration System, 16, 542–553.

    Article  Google Scholar 

  10. Dally, W. J., & Towles, B. (2001). Route packets, not wires: On-chip interconnection networks. In Proceedings of the design automation conference (DAC), Las Vegas (pp. 684–689).

  11. Guerrier, P., & Greiner, A. (2001). A generic architecture for on-chip packet-switched interconnections. In Proceedings of the design automation and test in Europe conference (DATE), Paris (pp. 250–256).

  12. Dall’Osso, M., Biccari, G., Giovannini, L., Bertozzi, D., & Benini, L. (2012). Xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor SoCs. In International conference on computer design (ICCD) (pp. 45–48).

  13. Zeferino, C. A., Kreutz, M. E., Carro, L., & Susin, A. A. (2002). A study on communication issues for systems- on-chip. In Proceedings of the 15th symposium on integrated circuits and systems design (SBCCI), Porto Alegre (pp. 121–126).

  14. Atienzaa, D., Angiolini, F., Murali, S., Pullinid, A., Benini, L., & De Micheli, G. (2008). Network-on-chip design and synthesis outlook. Integration, the VLSI Journal, 41, 340–359.

    Article  Google Scholar 

  15. Cota, E., de Morais Amory, A., & Lubaszewski, M. S. (2012). Reliability, availability and serviceability of networks on chip (Vol. 2, pp. 1–24). New York: Springer.

    Book  MATH  Google Scholar 

  16. Karim, F., Nguyen, A., & Dey, S. (2002). An interconnect architecture for networking systems on chips. IEEE Journal on Micro High Performance Interconnect, 22, 36–45.

    Google Scholar 

  17. Saastamoinen, I., Alho, M., & Nurmi, J. (2003). Buffer implementation for Proteo network-on-chip. International Proceedings of Circuits and Systems, 113–116.

  18. Obermeyer, Z., & Emanuel, E. J. (2016). Predicting the future—Big data, machine learning, and clinical medicine. The New England Journal of Medicine, 375(13), 1216.

    Article  Google Scholar 

  19. Temam, O. (2016). Enabling future progress in machine-learning. In 2016 IEEE symposium on VLSI circuits (VLSI-circuits), (pp. 1–3). IEEE.

  20. Notomista, G., & Botsch, M. (2017). A machine learning approach for the segmentation of driving maneuvers and its application in autonomous parking. Journal of Artificial Intelligence and Soft Computing Research, 7(4), 243–255.

    Article  Google Scholar 

  21. Berk, R. (2017). An impact assessment of machine learning risk forecasts on parole board decisions and recidivism. Journal of Experimental Criminology, 13, 1–24.

    Article  Google Scholar 

  22. Ma, Z., Xue, J.-H., Leijon, A., Tan, Z.-H., Yang, Z., & Guo, J. (2018). Decorrelation of neutral vector variables: Theory and applications. IEEE Transactions on Neural Networks and Learning Systems, 29(1), 129–143.

    Article  MathSciNet  Google Scholar 

  23. Ma, Z., Teschendorff, A. E., Leijon, A., Qiao, Y., Zhang, H., & Guo, J. (2015). Variational bayesian matrix factorization for bounded support data. IEEE Transactions on Pattern Analysis and Machine Intelligence, 37(4), 876–889.

    Article  Google Scholar 

  24. Xu, P., Yin, Q., Huang, Y., Song, Y.-Z., Ma, Z., Wang, L., Xiang, T., Kleijn, W. B., & Guo, J. (2018). Cross-modal subspace learning for fine-grained sketch-based image retrieval. arXiv preprint arXiv:1705.09888.

  25. Cybenko, G. (2017). Parallel computing for machine learning in social network analysis. In 2017 IEEE international on parallel and distributed processing symposium workshops (IPDPSW) (pp. 1464–1471). IEEE.

  26. Abadi, M., Agarwal, A., Barham, P., Brevdo, E., Chen, Z., Citro, C., Corrado, G. S., et al. (2016). Tensorflow: Large-scale machine learning on heterogeneous distributed systems. arXiv preprint arXiv:1603.04467.

  27. Jeong, K., Kahng, A. B., Lin, B., & Samadi, K. (2010). Accurate machine-learning-based on-chip router modeling. IEEE Embedded Systems Letters, 2(3), 62–66.

    Article  Google Scholar 

  28. Kumar, A., Kuchhal, P., & Singhal, S. (2012). Network on chip for 3D mesh structure with enhanced security algorithm in HDL environment. International Journal of Computer Applications (IJCA), 59(17), 6–13.

    Article  Google Scholar 

  29. Tatas, K., Siozios, K., Soudris, D., & Jantsch, A. (2014). Designing 2D and 3D network-on-chip architectures (pp. 1–45). New York: Springer.

    Book  Google Scholar 

  30. Kourdy, R., & Nouri, M. R. (2012). Compare performance of 2D and 3D mesh architectures in network on-chip. Journal of Computing, 4(1), 83–87.

    Google Scholar 

  31. Kumar, A., Kuchhal, P., & Singhal, S. (2017). Five stage telecommunication switching design and synthesis. Wireless Personal Communications. https://doi.org/10.1007/s11277-017-4660-y.

    Google Scholar 

  32. Kumar, A., Verma, G., & Gupta, M. K. (2017). FM receiver design using programmable PLL. Wireless Personal Communications. https://doi.org/10.1007/s11277-017-4536-1.

    Google Scholar 

  33. Pedregosa, F., et al. (2011). Scikit-learn: Machine learning in python. JMLR, 12, 2825–2830.

    MathSciNet  MATH  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Adesh Kumar.

Ethics declarations

Conflict of interest

The authors declare that there is no conflict of interest regarding the publication of this paper.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Kumar, A., Sharma, P., Gupta, M.K. et al. Machine Learning Based Resource Utilization and Pre-estimation for Network on Chip (NoC) Communication. Wireless Pers Commun 102, 2211–2231 (2018). https://doi.org/10.1007/s11277-018-5376-3

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11277-018-5376-3

Keywords

Navigation