Skip to main content

Advertisement

Log in

System-wide energy optimization for multiple DVS components and real-time tasks

  • Published:
Real-Time Systems Aims and scope Submit manuscript

Abstract

Most dynamic voltage and frequency scaling (DVS) techniques adjust only CPU parameters; however, recent embedded systems provide multiple adjustable clocks which can be independently tuned. When considering multiple components, energy optimal frequencies depend on task set characteristics such as the number of CPU and memory access cycles. In this work, we propose a realistic energy model considering multiple components with individually adjustable frequencies such as CPUs, system bus and memory, and related task set characteristics. The model is validated on a real platform and shows less than 2% relative error compared to measured values. Based on the proposed energy model, we present an optimal static frequency assignment scheme for multiple DVS components to schedule a set of periodic real-time tasks. We simulate the energy gain of the proposed scheme compared to other DVS schemes for various task and system configurations, showing up to a 20% energy reduction. We also experimentally verify energy savings of the proposed scheme on a real hardware platform.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  • ARM (2010) The ARM instruction set [Online]. Available: http://infocenter.arm.com/help/topic/com.arm.doc.qrc0001l/QRC0001_UAL.pdf

  • Aydin H, Melhem R, Mossé D, Alvarez P (2001) Determining optimal processor speeds for periodic real-time tasks with different power characteristics. In: Proceedings of ECRTS, pp 225–232

    Google Scholar 

  • Aydin H, Devadas V, Zhu D (2006) System-level energy management for periodic real-time tasks. In: Proceedings of IEEE RTSS. IEEE Comput Soc, Los Alamitos, pp 313–322

    Google Scholar 

  • Benini L, Bogliolo A, De Micheli G (2002) A survey of design techniques for system-level dynamic power management. IEEE Trans Very Large Scale Integr 8(3):299–316

    Article  Google Scholar 

  • Bini E, Buttazzo G, Lipari G (2005) Speed modulation in energy-aware real-time systems. In: Proceedings of ECRTS

    Google Scholar 

  • Bini E, Buttazzo G, Lipari G (2009) Minimizing CPU energy in real-time systems with discrete speed management. ACM Trans Embed Comput Syst 8(4):1–23

    Article  Google Scholar 

  • Brooks DME (2000) Power-aware microarchitecture: design and modeling challenges for next-generation microprocessors. IEEE Micro, 20(6):26–44

    Article  Google Scholar 

  • Chen J, Hsu H, Chuang K, Yang C, Pang A, Kuo T (2004) Multiprocessor energy-efficient scheduling with task migration considerations. In: Proceedings of ECRTS, pp 101–108

    Google Scholar 

  • Cheng H, Goddard S (2005) Integrated device scheduling and processor voltage scaling for system-wide energy conservation. In: Proc of PARC

    Google Scholar 

  • Cho Y, Chang N (2006) Energy-aware clock-frequency assignment in microprocessors and memory devices for dynamic voltage scaling. IEEE Trans Comput-Aided Des Integr Circuits Syst 26(6):1030–1040

    Article  Google Scholar 

  • David F, Carlyle J, Campbell R (2007) Context switch overheads on mobile device platforms. In: Experimental computer science on Experimental computer science. USENIX Association, Berkeley, p 2

    Google Scholar 

  • Devadas V, Aydin H (2008) Real-time dynamic power management through device forbidden regions. In: Proceedings of the 2008 IEEE real-time and embedded technology and applications symposium. IEEE Comput Soc, Los Alamitos, pp 34–44

    Chapter  Google Scholar 

  • Dhiman G, Pusukuri KK, Rosing T (2008) Analysis of dynamic voltage scaling for system level energy management. In: Workshop on power aware computing and systems

    Google Scholar 

  • Eranian S (2010) perfmon2 project website [Online]. Available: http://perfmon2.sourceforge.net/

  • Fan X, Ellis C, Lebeck A (2002) The synergy between power-aware memory systems and processor voltage scaling. Department of Computer Science Duke University, Durham TR CS-2002-12

  • Freescale (2006) STMP36xx data sheet [Online]. Available: http://www.rockbox.org/wiki/pub/Main/SigmaTelSTMP3xxx/stmp36xx-Datasheet-1-02_050306.pdf

  • Freescale (2008) STMP3700 system-on-chip fact sheet, October 2008 [Online]. Available: http://www.freescale.com/files/32bit/doc/fact_sheet/STMP3700FS.pdf

  • Gruian F (2001) Hard real-time scheduling for low-energy using stochastic data and DVS processors. In: Proceedings of the 2001 international symposium on low power electronics and design. ACM, New York, pp 46–51

    Chapter  Google Scholar 

  • Intel (2004) Low voltage Intel Xeon processor with 800 MHz system bus, October 2004 [Online]. Available: http://download.intel.com/design/intarch/datashts/30409701.pdf

  • Intel Corporation, Hewlett-Packard, Microsoft, Toshiba, Phoenix Technologies (2010) Advanced configuration and power interface specification, revision 4.0a [Online]. Available: http://www.acpi.info/DOWNLOADS/ACPIspec40a.pdf

  • Jejurikar R, Gupta R (2006) Optimized slowdown in real-time task systems. IEEE Trans Comput 55(12):1588

    Article  Google Scholar 

  • Liu H, Shao Z, Wang M, Chen P (2008) Overhead-aware system-level joint energy and performance optimization for streaming applications on multiprocessor systems-on-chip. In: Proceedings of ECRTS, pp 92–101

    Google Scholar 

  • Mejia-Alvarez P, Levner E, Mossé D (2004) Adaptive scheduling server for power-aware real-time tasks. ACM Trans Embed Comput Syst 3(2):306

    Article  Google Scholar 

  • Pellizzoni R, Caccamo M (2007) Toward the predictable integration of real-time COTS based systems. In: Proceedings of IEEE RTSS

    Google Scholar 

  • Pillai P, Shin KG (2001) Real-time dynamic voltage scaling for low-power embedded operating systems. In: Proceedings of SOSP, pp 89–102

    Google Scholar 

  • Rong P, Pedram M (2006) Power-aware scheduling and dynamic voltage setting for tasks running on a hard real-time system. In: Proceedings of the 2006 Asia and South Pacific design automation conference, pp 473–478

    Chapter  Google Scholar 

  • Samsung (2006) K4M281633H mobile SDRAM datasheet [Online]. Available: http://www.alldatasheet.com/datasheet-pdf/pdf/146537/SAMSUNG/K4M281633H.html

  • Samsung (2010) S3C2440A user’s manual [Online]. Available: http://www.armkits.com/download/s3c2440.pdf

  • Shim H, Cho Y, Chang N (2004) Power saving in hand-held multimedia systems using MPEG-21 digital item adaptation. In: Proceedings of IEEE workshop on embedded systems for real-time multimedia, pp 13–18

    Google Scholar 

  • Šimunić T, Benini L, De Micheli G (1999) Cycle-accurate simulation of energy consumption in embedded systems. In: DAC’99: proceedings of the 36th annual ACM/IEEE design automation conference. ACM, New York, pp 867–872

    Google Scholar 

  • Šimunić T, Benini L, Acquaviva A, Glynn P, De Micheli G (2001) Dynamic voltage scaling and power management for portable systems. In: Proceedings of the 38th annual design automation conference. ACM, New York, p 529

    Google Scholar 

  • Snowdon D, Petters S, Heiser G (2007) Accurate on-line prediction of processor and memory energy usage under voltage scaling. In: Proceedings of EMSOFT. ACM, New York, p 93

    Google Scholar 

  • Snowdon D, Le Sueur E, Petters S, Heiser G (2009) Koala: a platform for OS-level power management. In: Proceedings of the fourth ACM European conference on computer systems. ACM, New York, pp 289–302

    Chapter  Google Scholar 

  • Wan M, Ichikawa Y, Lidsky D, Rabaey J (2002) An energy conscious methodology for early design exploration of heterogeneous DSPs. In: Custom integrated circuits conference, 1998. Proceedings of the IEEE 1998. IEEE Press, New York, pp 111–117

    Google Scholar 

  • Yun H, Wu P, Anshu A, Abdelzaher T, Kim C, Sha L (2010) System-wide energy optimization for multiple DVS components and real-time tasks. In: Proceedings of ECRTS

    Google Scholar 

  • TI (2010) Cortex-A8 architecture [Online]. Available: http://wiki.davincidsp.com/index.php/Startup_shutdown_and_power_management

  • Zhong X, Xu C-Z (2005) Energy-aware modeling and scheduling of real-time tasks for dynamic voltage scaling. In: Proceedings of IEEE RTSS, p 10, p 375

    Google Scholar 

  • Zhong X, Xu C-Z (2006) System-wide energy minimization for real-time tasks: lower bound and approximation. The international conference on computer-aided design, pp 516–521

  • Zhuo J, Chakrabarti C (2005) System-level energy-efficient dynamic task scheduling. In: Proceedings of the 42nd annual design automation conference. ACM, New York, pp 628–631

    Chapter  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Cheolgi Kim.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Yun, H., Wu, PL., Arya, A. et al. System-wide energy optimization for multiple DVS components and real-time tasks. Real-Time Syst 47, 489–515 (2011). https://doi.org/10.1007/s11241-011-9125-x

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11241-011-9125-x

Keywords

Navigation