Skip to main content
Log in

Growth characteristics and electrical properties of SiO2 thin films prepared using plasma-enhanced atomic layer deposition and chemical vapor deposition with an aminosilane precursor

  • Original Paper
  • Published:
Journal of Materials Science Aims and scope Submit manuscript

Abstract

The deposition of high-quality SiO2 films has been achieved through the use of both plasma-enhanced chemical vapor deposition (PE-CVD) and plasma-enhanced atomic layer deposition (PE-ALD) methods using H2Si[N(C2H5)2]2 as a Si precursor. We systematically investigated growth characteristics, chemical compositions, and electrical properties of PE-CVD SiO2 prepared under various deposition conditions. The SiO2 films prepared using PE-CVD showed high purity and good stoichiometry with a dielectric constant of ~4. In addition, the PE-ALD process of the SiO2 films exhibited well-saturated and almost linear growth characteristics of ~1.3 Å cycle−1 without notable incubation cycles, producing pure SiO2 films. Electrical characterization of metal-oxide silicon capacitor structures prepared with each SiO2 film showed that PE-ALD SiO2 films had relatively lower leakage currents than PE-CVD SiO2 films. This might be a result of the saturated surface reaction mechanism of PE-ALD, which allows a smooth surface in comparison with PE-CVD method. In addition, the dielectric properties of both SiO2 films were further evaluated in the structures of In–Ga–Zn–O thin-film transistors, and they both showed good device performances in terms of high I on − I off ratios (>108) and low off-currents (<10−11 A). However, based on the negative bias stress reliability test, it was found that PE-ALD SiO2 showed better reliability against a negative V th shift than PE-CVD SiO2, which might also be understood from its smoother channel/insulator interface generation at the interface.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7

Similar content being viewed by others

References

  1. Andry PS, Tsang CK, Webb BC, Sprogis EJ, Wright SL, Dang B, Manzer DG (2008) Fabrication and characterization of robust through silicon vias for silicon-carrier applications. IBM J Res Dev 52:571–581

    Article  Google Scholar 

  2. Sim S, Oh P, Park S, Cho J (2013) Critical thickness of SiO2 coating layer on core@ shell bulk@ nanowire Si anode materials for Li-Ion batteries. Adv Mater 25:4498–4503

    Article  Google Scholar 

  3. Adivarahan V, Simin G, Yang JW, Lunev A, Khan MA, Pala N, Shur M, Gaska R (2000) SiO2 passivated lateral-geometry GaN transparent Schottky-barrier detectors. Appl Phys Lett 77:863–865

    Article  Google Scholar 

  4. Aberle AG (2000) Surface passivation of crystalline silicon solar cells: a review. Prog Photovolt Res Appl 8:473–487

    Article  Google Scholar 

  5. Kerr MJ, Cuevas A (2002) Very low bulk and surface recombination in oxidized silicon wafers. Semicond Sci Technol 17:35–38

    Article  Google Scholar 

  6. Zhao J, Wang A, Green MA, Ferrazza F (1998) 19.8 % efficient ‘‘honeycomb’’ textured multicrystalline and 24.4 % monocrystalline silicon solar cells. Appl Phys Lett 73:1991–1993

    Article  Google Scholar 

  7. Schultz O, Mette A, Hermle M, Glunz SW (2008) Thermal oxidation for crystalline silicon solar cells exceeding 19 % efficiency applying industrially feasible process technology. Prog Photovolt Res Appl 16:317–324

    Article  Google Scholar 

  8. Nomura K, Ohta H, Takagi A, Kamiya T, Hirano M, Hosono H (2004) Room-temperature fabrication of transparent flexible thin-film transistors using amorphous oxide semiconductors. Nature 432:488–492

    Article  Google Scholar 

  9. Kim H, Oh I-K (2014) Review of plasma-enhanced atomic layer deposition: technical enabler of nanoscale device fabrication. Jpn J Appl Phys 53:03DA01-1–03DA01-7

    Google Scholar 

  10. Pan P (1985) The composition and properties of PECVD silicon oxide films. J Electrochem Soc 132:2012–2019

    Article  Google Scholar 

  11. Lee J-H, Kim U-J, Han C-H, Rha S-K, Lee W-J, Park C-O (2004) Investigation of silicon oxide thin films prepared by atomic layer deposition using SiH2Cl2 and O3 as the precursors. Jpn J Appl Phys 43:L328–L330

    Article  Google Scholar 

  12. Klaus JW, Ott AW, Johnson JM, George SM (1997) Atomic layer controlled growth of SiO2 films using binary reaction sequence chemistry. Appl Phys Lett 70:1092–1094

    Article  Google Scholar 

  13. Klaus JW, Sneh O, Ott AW, George SM (1999) Atomic layer deposition of SiO2 using catalyzed and uncatalyzed self-limiting surface reactions. Surf Rev Lett 06:435–448

    Article  Google Scholar 

  14. Klaus JW, George SM (2000) Atomic layer deposition of SiO2 at room temperature using NH3-catalyzed sequential surface reactions. Surf Sci 447:81–90

    Article  Google Scholar 

  15. Lee S-W, Park K, Han B, Son S-H, Rha S-K, Park C-O, Lee W-J (2008) Atomic layer deposition of silicon oxide thin films by alternating exposures to Si2Cl6 and O3. Electrochem Solid State Lett 11:G23–G26

    Article  Google Scholar 

  16. Suzuki I, Dussarrat C, Yanagita K (2007) Extra low-temperature SiO2 deposition using aminosilanes. ECS Trans 3:119–128

    Article  Google Scholar 

  17. Kamiyama S, Miura T, Nara Y (2006) Comparison between SiO2 films deposited by atomic layer deposition with SiH2[N(CH3)2]2 and SiH[N(CH3)2]3 precursors. Thin Solid Films 515:1517–1521

    Article  Google Scholar 

  18. Lee JH, Jeong CH, Lim JT, Jo NG, Kyung SJ, Yeom GY (2005) Characteristic of SiO2 films deposited by using low-temperature PECVD with TEOS/N2/O2. J Korean Phys Soc 46:890–894

    Google Scholar 

  19. Nishi Y, Funai T, Izawa H, Fujimoto T, Morimoto H, Ishii M (1992) Characteristics of plasma-enhanced-chemical-vapor-deposition tetraethylorthosilicate oxide and thin-film-transistor application. Jpn J Appl Phys 31:4570–4573

    Article  Google Scholar 

  20. Inoue Y, Takai O (1996) Spectroscopic studies on preparation of silicon oxide films by PECVD using organosilicon compounds. Plasma Sources Sci Technol 5:339–343

    Article  Google Scholar 

  21. Bang SB, Chung TH, Kim Y, Kang MS, Kim JK (2004) Effects of the oxygen fraction and substrate bias power on the electrical and optical properties of silicon oxide films by plasma enhanced chemical vapour deposition using TMOS/O2 gas. J Phys D Appl Phys 37:1679–1684

    Article  Google Scholar 

  22. Grill A, Patel V (1999) Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from tetramethylsilane. J Appl Phys 85:3314–3318

    Article  Google Scholar 

  23. Croci S, Pêcheur A, Autran JL, Vedda A, Caccavale F, Martini M, Spinolo G (2001) SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: defect characterization. J Vac Sci Technol A Vac Surf Film 19:2670–2675

    Article  Google Scholar 

  24. Korzec D, Theirich D, Werner F, Traub K, Engemann J (1995) Remote and direct microwave plasma deposition of HMDSO films: comparative study. Surf Coat Technol 74–75:67–74

    Article  Google Scholar 

  25. Hiller D, Zierold R, Bachmann J, Alexe M, Yang Y, Gerlach JW, Stesmans A, Jivanescu M, Müller U, Vogt J, Hilmer H, Löper P, Künle M, Munnik F, Nielsch K, Zacharias M (2010) Low temperature silicon dioxide by thermal atomic layer deposition: investigation of material properties. J Appl Phys 107:064314-1–064314-10

    Google Scholar 

  26. Dingemans G, Van Helvoirt C, Van de Sanden MCM, Kessels WM (2011) Plasma-assisted atomic layer deposition of low temperature SiO2. ECS Trans 35:191–204

    Article  Google Scholar 

  27. Mahajan AM, Patil LS, Bange JP, Gautam DK (2004) Growth of SiO2 films by TEOS-PECVD system for microelectronics applications. Surf Coat Technol 183:295–300

    Article  Google Scholar 

  28. Choi J-K, Kim D, Lee J, Yoo J-B (2000) Effects of process parameters on the growth of thick SiO2 using plasma enhanced chemical vapor deposition with hexamethyldisilazane. Surf Coat Technol 131:136–140

    Article  Google Scholar 

  29. Alexandrov SE, McSporran N, Hitchman ML (2005) Remote AP-PECVD of silicon dioxide films from hexamethyldisiloxane (HMDSO). Chem Vap Depos 11:481–490

    Article  Google Scholar 

  30. Nakazawa M, Kawase S, Sekiyama H (1989) Investigations of the SiO2/Si interface. I. Oxidation of a clean Si (100) surface using photoemission spectroscopy with synchrotron radiation. J Appl Phys 65:4014–4018

    Article  Google Scholar 

  31. Nakazawa M, Nishioka Y, Sekiyama H, Kawase S (1989) Investigation of the SiO2/Si interface. II. Oxidation of an HF-cleaned Si(100) surface using photoemission spectroscopy with synchrotron radiation. J Appl Phys 65:4019–4023

    Article  Google Scholar 

  32. Lu ZH, Graham MJ, Jiang DT, Tan KH (1993) SiO2/Si(100) interface studied by Al Kα x-ray and synchrotron radiation photoelectron spectroscopy. Appl Phys Lett 63:2941–2943

    Article  Google Scholar 

  33. Kim W-H, Maeng WJ, Kim M-K, Gatineau J, Kim H (2011) Electronic structure of cerium oxide gate dielectric grown by plasma-enhanced atomic layer deposition. J Electrochem Soc 158:G217–G220

    Article  Google Scholar 

  34. George SM (2010) Atomic layer deposition: an overview. Chem Rev 110:111–131

    Article  Google Scholar 

  35. Blanquart T, Niinistö J, Aslam N, Banerjee M, Tomczak Y, Gavagnin M, Longo V, Puukilainen E, Wanzenboeck HD, Kessels WMM, Devi A, Hoffmann-Eifert S, Ritala M, Leskelä M (2013) [Zr(NEtMe)2(guan-NEtMe)2] as a novel atomic layer deposition precursor: ZrO2 film growth and mechanistic studies. Chem Mater 25:3088–3095

    Article  Google Scholar 

  36. Devi A, Bhakta R, Milanov A, Hellwig M, Barreca D, Tondello E, Thomas R, Ehrhart P, Winter M, Fischer R (2007) Synthesis and characterisation of zirconium–amido guanidinato complex: a potential precursor for ZrO2 thin films. Dalton Trans 17:1671–1676

    Article  Google Scholar 

  37. JE Park, JH Ku, JW Lee, JH Yang, KS Chu, SH Lee, MH Park, NI Lee, HK Kang, KP Suh, BH Cho, BC Kim, CH Shin (2002) Mass-productive ultra-low temperature ALD SiO2 process promising for sub-90 nm memory and logic devices. In: International Electron Devices Meeting, pp 229–232

  38. Kawamura T, Uchiyama H, Saito S, Wakana H, Mine T, Hatano M, Torii K, Onai T (2008) 1.5-V operating fully-depleted amorphous oxide thin film transistors achieved by 63-mV/dec subthreshold slope. Int Electron Devices Meet 1:1–4

    Google Scholar 

  39. Jo C, Jun S, Kim W, Hur I, Bae H, Choi S-J, Kim DH, Kim DM (2013) Characterization of density-of-states and parasitic resistance in a-InGaZnO thin-film transistors after negative bias stress. Appl Phys Lett 102:143502-1–143502-5

    Google Scholar 

  40. Nag M, Bhoolokam A, Steudel S, Genoe J, Groeseneken G, Heremans P (2015) Impact of the low temperature gate dielectrics on device performance and bias-stress stabilities of a-IGZO thin-film transistors. ECS J Solid State Sci Technol 4:N99–N102

    Article  Google Scholar 

  41. Ji KH, Kim J-I, Mo Y-G, Jeong JH, Yang S, Hwang C-S, Park S-HK, Ryu M-K, Lee S-Y, Jeong JK (2010) Comparative study on light-induced bias stress instability of IGZO transistors with SiNx and SiO2 gate dielectrics. IEEE Electron Device Lett 31:1404–1406

    Article  Google Scholar 

Download references

Acknowledgements

This work was supported by Korea Evaluation Institute of Industrial Technology (KEIT) funded by the Ministry of Trade, Industry and Energy (MOTIE) (Project No. 10050296, Large scale (Over 8″) synthesis and evaluation technology of 2-dimensional chalcogenides for next generation electronic devices) and supported by the Industrial Strategic technology development program (10041926, Development of high density plasma technologies for thin-film deposition of nanoscale semiconductor and flexible display processing) funded by the Ministry of Knowledge Economy (MKE, Korea) and supported by the National Research Foundation of Korea (NRF) Grant funded by the Korea government (MSIP) (No. NRF-2014R1A2A1A11052588). Also this work was supported by Samsung Display Co., Ltd.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hyungjun Kim.

Additional information

Hanearl Jung and Woo-Hee Kim have contributed equally to this work.

Electronic supplementary material

Below is the link to the electronic supplementary material.

Supplementary material 1 (DOCX 724 kb)

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Jung, H., Kim, WH., Oh, IK. et al. Growth characteristics and electrical properties of SiO2 thin films prepared using plasma-enhanced atomic layer deposition and chemical vapor deposition with an aminosilane precursor. J Mater Sci 51, 5082–5091 (2016). https://doi.org/10.1007/s10853-016-9811-0

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10853-016-9811-0

Keywords

Navigation