Skip to main content
Log in

Defining and Providing Coverage for Assertion-Based Dynamic Verification

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

With the emerging predominance of assertion-based dynamic verification, test generation is a key area where assertions can play a bigger role. Generation of test sequences from properties defined by assertions can help in finding failures in corner-cases of the design specification that without assertions may not be possible. As such, we rely on the duality between property checkers and test generators to take advantage of the information present in the assertions for effective test scenarios—a much needed endeavor given the increasing challenges in verification. To undertake such an effort, we first elaborate on the relation between the coverage of the assertion-based specification and the specific coverage metrics over finite nondeterministic automata representing the assertions. We finally present Airwolf-TG that generate test sequences from compact automata produced by the MBAC tool.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15

Similar content being viewed by others

References

  1. Ammann P, Offutt J (2008) Introduction to software testing. Cambridge University Press, New York

    MATH  Google Scholar 

  2. Anderson TL (2005) Coverage is the heart of verification. EETimes. Available online: http://www.eetimes.com/news/design/features/showArticle.jhtml?articleID=60400630

  3. Ball T, Kupferman O (2008) Vacuity in testing. In: Test and proofs, ser. Lecture notes in computer science, vol 4966. Springer, Berlin, pp 4–17

    Chapter  Google Scholar 

  4. Beer I, Ben-David S, Eisner C, Rodeh Y (2001) Efficient detection of vacuity in temporal model checking. Form Methods Syst Des 18(2):141–163

    Article  MATH  Google Scholar 

  5. Bening L, Foster H (2001) Principles of verifiable RTL design. Kluwer Academic, Norwell

    Google Scholar 

  6. Boulé M, Chenard J, Zilic Z (2006) Adding debug enhancements to assertion checkers for hardware emulation and silicon debug. In: International conference on computer design, pp 294–299

  7. Boulé M, Chenard J, Zilic Z (2007) Assertion checkers in verification, silicon debug and in-field diagnosis. In: Proceedings of the IEEE international symposium on quality electronic design, pp 613–620

  8. Boulé M, Chenard J, Zilic Z (2007) Debug enhancements in assertion-checker generation. IET Comput Digit Tech 1(6):669–677

    Article  Google Scholar 

  9. Boulé M, Zilic Z (2007) Efficient automata-based assertion-checker synthesis of SEREs for hardware emulation. In: ASP-DAC ’07: proceedings of the 2007 conference on Asia South Pacific design automation. IEEE Computer Society, Washington, DC, pp 324–329

  10. Boulé M, Zilic Z (2008) Automata-based assertion-checker synthesis of PSL properties. ACM Transact Des Automat Electron Syst 13(1):1–21

    Article  Google Scholar 

  11. Boulé M, Zilic Z (2008) Generating hardware assertion checkers: for hardware verification, emulation, post-fabrication debugging and on-line monitoring. Springer, Berlin

    Google Scholar 

  12. Brzozowski J (1962) Canonical regular expressions and minimal state graphs for definite events. Math Theory Automata 12:529–561

    Google Scholar 

  13. Calamé J (2006) Specification-based test generation with TGV. Centrum voor Wiskunde en Informatica

  14. Chatterjee D, Bertacco V (2009) Activity-based refinement for abstraction-guided simulation. In: Proceedings of IEEE high-level design validation and test workshop, pp 146–153

  15. Cheng K, Krishnakumar A (1993) Automatic functional test generation using the extended finite state machine model. In: Proceedings of the 30th international conference on design automation. ACM, New York, pp 86–91

    Google Scholar 

  16. Eisner C, Fisman D (2006) A practical introduction to PSL (Series on integrated circuits and systems). Springer, New York

    Google Scholar 

  17. Foster H (2009) Applied assertion-based verification: an industry perspective. Found Trends Electron Des Autom 3(1):1–95

    Article  Google Scholar 

  18. Foster H, Lacey D, Krolnik A (2003) Assertion-based design. Kluwer Academic, Norwell

    Google Scholar 

  19. Hierons RM, et al (2009) Using formal specifications to support testing. ACM Comput Surv 41(2):1–76

    Article  Google Scholar 

  20. Hopcroft J, Motwani R, Ullman J (2000) Introduction to automata theory, languages, and computation, 2nd edn. Addison Wesley, Reading

    Google Scholar 

  21. Koo H-M, Mishra P (2006) Test generation using SAT-based bounded model checking for validation of pipelined processors. In: GLSVLSI ’06: proceedings of the 16th ACM Great Lakes symposium on VLSI. ACM, New York,pp 362–365

    Chapter  Google Scholar 

  22. Kupferman O, Vardi M (2003) Vacuity detection in temporal model checking. Int J Softw Tools Technol Transf 4(2):224–233

    Article  Google Scholar 

  23. Mathaikutty DA, Ahuja S, Dingankar A, Shukla S (2007) Model-driven test generation for system level validation. In: High-level design, validation, and test workshop, IEEE international, pp 83–90

  24. Oddos Y, Morin-Allory K, Borrione D, Boulé M, Zilic Z (2009) MYGEN: automata-based on-line test generator for assertion-based verification. In: GLSVLSI ’09: proceedings of the 19th ACM Great Lakes symposium on VLSI. ACM, New York, pp 75–80

    Book  Google Scholar 

  25. Pal B, Banerjee A, Sinha A, Dasgupta P (2008) Accelerating assertion coverage with adaptive testbenches. IEEE Trans Comput-Aided Des Integr Circuits Syst 27(5):967–972

    Article  Google Scholar 

  26. Shimizu K, Dill DL (2002) Deriving a simulation input generator and a coverage metric from a formal specification. In: DAC ’02: proceedings of the 39th annual design automation conference. ACM, New York, pp 801–806

    Google Scholar 

  27. Sordoillet J, Davey S (2006) Integrated, comprehensive assertion-based coverage. EDA Tech Forum 3(1):22–25

    Google Scholar 

  28. Vijayaraghavan S, Ramanathan M (2005) A practical guide for systemVerilog assertions. Springer, Berlin

    Google Scholar 

  29. Yuan J, Pixley C, Aziz A (2006) Constraint-based verification. Springer, Berlin

    MATH  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jason G. Tong.

Additional information

Responsible Editor: P. Mishra

Rights and permissions

Reprints and permissions

About this article

Cite this article

Tong, J.G., Boulé, M. & Zilic, Z. Defining and Providing Coverage for Assertion-Based Dynamic Verification. J Electron Test 26, 211–225 (2010). https://doi.org/10.1007/s10836-010-5148-9

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-010-5148-9

Keywords

Navigation