Skip to main content

Advertisement

Log in

Design of Low Power & Reliable Networks on Chip Through Joint Crosstalk Avoidance and Multiple Error Correction Coding

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Network on Chip (NoC) is an enabling methodology of integrating a very high number of intellectual property (IP) blocks in a single System on Chip (SoC). A major challenge that NoC design is expected to face is the intrinsic unreliability of the interconnect infrastructure under technology limitations. Research must address the combination of new device-level defects or error-prone technologies within systems that must deliver high levels of reliability and dependability while satisfying other hard constraints such as low energy consumption. By incorporating novel error correcting codes it is possible to protect the NoC communication fabric against transient errors and at the same time lower the energy dissipation. We propose a novel, simple coding scheme called Crosstalk Avoiding Double Error Correction Code (CADEC). Detailed analysis followed by simulations with three commonly used NoC architectures show that CADEC provides significant energy savings compared to previously proposed crosstalk avoiding single error correcting codes and error-detection/retransmission schemes.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  1. Avresky DR, Shubranov V, Horst R, Mehra P (1999) Performance Evaluation of the ServerNetR SAN under Self-Similar Traffic. Proceedings of 13th International and 10th Symposium on Parallel and Distributed Processing 143–147, April 12–16th

  2. Benini L, De Micheli G (2002) Networks on Chips: A New SoC Paradigm. IEEE Computer 70–78, Jan

  3. Benini L, Bertozzi D (2004) Xpipes: A Network-on-Chip Architecture for Gigascale Systems-on-Chip. IEEE Circuits Syst Mag 4(2):18–31, Apr–June

    Article  Google Scholar 

  4. Bertozzi D, Benini L, De Micheli G (2002) Low power error resilient encoding for on-chip data buses. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, (DATE) 102–109, 4–8 March

  5. Bertozzi D, Benini L, De Micheli G (2005) Error Control Schemes for On-Chip Communication Links: The Energy-Reliability Tradeoff. IEEE Trans Comput-Aided Des Integr Circuits Syst 24(6):818–831, June

    Article  Google Scholar 

  6. Duato J, Yalamanchili S, Ni L (2002) Interconnection Networks – An Engineering Approach, Morgan Kaufmann

  7. Dupont E, Nicolaidis M, Rohr P (2002) Embedded Robustness IPs for Transient-Error-Free ICs. IEEE Des Test Comput 19(3):54–68, May–June

    Article  Google Scholar 

  8. Grecu C, Pande PP, Ivanov A, Saleh R (2004) A Scalable Communication-Centric SoC Interconnect Architecture”, Proceedings of IEEE International Symposium on Quality Electronic Design, ISQED 343–348

  9. Grecu C, Pande PP, Ivanov A, Saleh R (2005) Timing Analysis of Network on Chip Architectures for MP-SoC Platforms. Microelectron J Elsevier 36(9):833–845

    Google Scholar 

  10. ITRS (2005) Documents, http://www.itrs.net/Links/2005ITRS/Home2005.htm

  11. Kretzschmar C, Nieuwland AK, Muller D (2004) Why Transition Coding for Power Minimization of on-Chip Buses does not work. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition 512–517, 16–20 Feb

  12. Lin S, Costello DJ (1983) Error Control Coding: Fundamentals and Applications, Prentice-Hall

  13. Magarshack P, Paulin PG (2003) System-on-Chip beyond the Nanometer Wall. Proceedings of 40th Design Automation Conf. (DAC 03), ACM Press, pp 419–424

  14. McWilliams FJ (1963) A Theorem on the Distribution of Weights in a Systematic Code. Bell Syst Tech Jour 42:79–94

    Google Scholar 

  15. Mitra S, Seifert N, Zhang M, Shi Q, Kim KS (2005) Robust System Design with Built-In Soft Error Resilience. IEEE Computer 38(2):43–52, Feb

    Google Scholar 

  16. Murali S, De Micheli G, Benini L, Theocharides T, Vijaykrishnan N, Irwin M (2005) Analysis of Error Recovery Schemes for Networks on Chips. IEEE Des Test Comput 22(5):434–442

    Article  Google Scholar 

  17. Pande PP, Ganguly A, Feero B, Belzer B, Grecu C (2006) Design of Low power & Reliable Networks on Chip through Joint Crosstalk Avoidance and Forward Error Correction Coding. Proceedings of 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT 06), 4th–6th October

  18. Pande PP, Grecu C, Jones M, Ivanov A, Saleh R (2005) Performance Evaluation and Design Trade-offs for Network on Chip Interconnect Architectures. IEEE Trans Comput 54(8):1025–1040, August

    Article  Google Scholar 

  19. Pande PP, Zhu H, Ganguly A, Grecu C (2006) Crosstalk-aware Energy Reduction in NoC Communication Fabrics. Proceedings of IEEE International SOC Conference, SOCC 2006 225–228, 24th–27th September

  20. Pande PP, Zhu H, Ganguly A, Grecu C (2006) Energy Reduction through Crosstalk Avoidance Coding in NoC Paradigm. Proceedings of 9th Euromicro Conference on Digital System Design, DSD 2006, 30th August-1st

  21. Park K, Willinger W (2000) Self-similar Network Traffic and Performance Evaluation, John Wiley & Sons

  22. Patel KN, Markov IL (2003) Error-Correction and Crosstalk Avoidance in DSM Busses,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Special Issue for System Level Interconnect Prediction (SLIP) 1–5

  23. Rossi D et al (2002) Coding scheme for low energy consumption fault-tolerant bus. Proceedings of 8th IEEE International On-Line Testing Workshop 8–12

  24. Rossi D et al (2003) Power Consumption of Fault Tolerant Codes: the Active Elements. Proceedings of 9th IEEE International On-Line Testing Symposium 61–67

  25. Rossi D, Metra C, Nieuwland AK, Katoch A (2005) Exploiting ECC Redundancy to Minimize Crosstalk Impact. IEEE Des Test Comput 22(1):59–70, Jan

    Article  Google Scholar 

  26. Rossi D, Metra C, Nieuwland AK, Katoch A (2005) New ECC for Crosstalk Effect Minimization. IEEE Des Test Comput 22(4):340–348, July–Aug

    Article  Google Scholar 

  27. Shang L, Peh LS, Jha NK (2003) Dynamic voltage scaling with links for power optimization of interconnection networks. Proceedings of the 9th International Symposium on High Performance Computer Architecture (HPCA-9) 91–102, 8–12 Feb

  28. Soteriou V, LS Peh (2004) Design-space exploration of power-aware on/off interconnection networks. Proceedings of IEEE International Conference on Computer Design (ICCD) 510–517, 11–13 Oct

  29. Sotiriadis PP, Chandrakasan AP (2002) A bus energy model for deep submicron technology. IEEE Trans Very Large Scale Integr (VLSI) Syst 10(3):341–350, June

    Article  Google Scholar 

  30. Sridhara SR, Shanbhag NR (2005) Coding for System-on-Chip Networks: A Unified Framework. IEEE Trans Very Large Scale Integr (TVLSI) Syst 13(6):655–667, June

    Article  Google Scholar 

  31. Stan MR, Burleson WP (1997) Low-power encodings for global communication in CMOS VLSI. IEEE Trans Very Large Scale Integr (TVLSI) Syst 5(4):444–455, Dec

    Article  Google Scholar 

  32. Victor B, Keutzer K (2001) Bus Encoding to Prevent Crosstalk Delay. Proceedings of IEEE International conference on Computer Aided Design (ICCAD) 57–63, 4–8 Nov

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Amlan Ganguly.

Additional information

Responsible Editor: N. A. Touba

Rights and permissions

Reprints and permissions

About this article

Cite this article

Ganguly, A., Pande, P.P., Belzer, B. et al. Design of Low Power & Reliable Networks on Chip Through Joint Crosstalk Avoidance and Multiple Error Correction Coding. J Electron Test 24, 67–81 (2008). https://doi.org/10.1007/s10836-007-5035-1

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-007-5035-1

Keywords

Navigation