Skip to main content
Log in

Transient response of carbon nanotube integrated circuits

  • Research Article
  • Published:
Nano Research Aims and scope Submit manuscript

Abstract

The speed of frequency response of all published carbon nanotube (CNT) integrated circuits (ICs) is far from that predicted. The transient response of CNT ICs is explored systematically through the combination of experimental and simulation methods. Complementary field-effect-transistor (FET) based inverters were fabricated on a single semiconducting CNT, and the dynamic response measurement indicates that it can only work at an unexpectedly low speed, i.e. with a large propagation delay of 30 μs. Owing to the larger output resistance of CNT FETs, the existence of parasitic capacitances should induce much larger resistive-capacitive (RC) delay than that in Si ICs. Through detailed analysis combining simulation and experimental measurements, several kinds of parasitic capacitances dragging down the actual speed of CNT FET ICs are identified one by one, and each of them limits the speed at different levels through RC delay. It is found that the parasitic capacitance from the measurement system is the dominant one, and the large RC delay lowers the speed of CNT FETs logic circuits to only several kHz which is similar to the experimental results. Various optimized schemes are suggested and demonstrated to minimize the effect of parasitic capacitances, and thus improve the speed of CNT ICs.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Kienle, D.; Léonard, F. Terahertz response of carbon nanotube transistors. Phys. Rev. Lett. 2009, 103, 026601.

    Article  Google Scholar 

  2. Chaste, J.; Lechner, L.; Morfin, P.; Fève, G.; Kontos, T.; Berroir, J. M.; Glattli, D. C.; Happy, H.; Hakonen, P.; Plaçais, B. Single carbon nanotube transistor at GHz frequency. Nano Lett. 2008, 8, 525–528.

    Article  Google Scholar 

  3. Rutherglen, C.; Jain, D.; Burke, P. Nanotube electronics for radiofrequency applications. Nat. Nano 2009, 4, 811–819.

    Article  Google Scholar 

  4. Che, Y. C.; Badmaev, A.; Jooyaie, A.; Wu, T.; Zhang, J. L.; Wang, C.; Galatsis, K.; Enaya, H. A.; Zhou, C. W. Self-aligned t-gate high-purity semiconducting carbon nanotube RF transistors operated in quasi-ballistic transport and quantum capacitance regime. ACS Nano 2012, 6, 6936–6943.

    Article  Google Scholar 

  5. Burke, P. J. AC performance of nanoelectronics: Towards a ballistic THz nanotube transistor. Solid-State Electron. 2004, 48, 1981–1986.

    Article  Google Scholar 

  6. Nougaret, L.; Happy, H.; Dambrine, G.; Derycke, V.; Bourgoin, J. P.; Green, A. A.; Hersam, M. C. 80 GHz fieldeffect transistors produced using high purity semiconducting single-walled carbon nanotubes. Appl. Phys. Lett. 2009, 94, 243505.

    Article  Google Scholar 

  7. Anantram, M. P. Current-carrying capacity of carbon nanotubes. Phys. Rev. B 2000, 62, 4837–4840.

    Article  Google Scholar 

  8. Avouris, P.; Chen, Z. H.; Perebeinos, V. Carbon-based electronics. Nat. Nano 2007, 2, 605–615.

    Article  Google Scholar 

  9. Purewal, M.; Hong, B.; Ravi, A.; Chandra, B.; Hone, J.; Kim, P. Scaling of resistance and electron mean free path of single-walled carbon nanotubes. Phys. Rev. Lett. 2007, 98, 186808.

  10. Ilani, S.; Donev, L. A. K.; Kindermann, M.; McEuen, P. L. Measurement of the quantum capacitance of interacting electrons in carbon nanotubes. Nat. Phys. 2006, 2, 687–691.

    Article  Google Scholar 

  11. Pomorski, P.; Pastewka, L.; Roland, C.; Guo, H.; Wang, J. Capacitance, induced charges, and bound states of biased carbon nanotube systems. Phys. Rev. B 2004, 69, 115418.

    Article  Google Scholar 

  12. Zhang, Z. Y.; Wang, S.; Ding, L.; Liang, X. L.; Pei, T.; Shen, J.; Xu, H. L.; Chen, Q.; Cui, R. L.; Li, Y. et al. Self-aligned ballistic n-type single-walled carbon nanotube field-effect transistors with adjustable threshold voltage. Nano Lett. 2008, 8, 3696–3701.

    Article  Google Scholar 

  13. Javey, A.; Guo, J.; Farmer, D. B.; Wang, Q.; Wang, D. W.; Gordon, R. G.; Lundstrom, M.; Dai, H. J. Carbon nanotube field-effect transistors with integrated ohmic contacts and high-κ gate dielectrics. Nano Lett. 2004, 4, 447–450.

    Article  Google Scholar 

  14. Franklin, A. D.; Chen, Z. H. Length scaling of carbon nanotube transistors. Nat. Nanotechnol. 2010, 5, 858–862.

    Article  Google Scholar 

  15. Franklin, A. D.; Luisier, M.; Han, S. J.; Tulevski, G.; Breslin, C. M.; Gignac, L.; Lundstrom, M. S.; Haensch, W. Sub-10 nm carbon nanotube transistor. Nano Lett. 2012, 12, 758–762.

    Article  Google Scholar 

  16. Chau, R.; Datta, S.; Doczy, M.; Doyle, B.; Jin, B.; Kavalieros, J.; Majumdar, A.; Metz, M.; Radosavljevic, M. Benchmarking nanotechnology for high-performance and low-power logic transistor applications. IEEE Trans. Nanotechnol. 2005, 4, 153–158.

    Article  Google Scholar 

  17. Ding, L.; Zhang, Z. Y.; Liang, S. B.; Pei, T.; Wang, S.; Li, Y.; Zhou, W. W.; Liu, J.; Peng, L. M. CMOS-based carbon nanotube pass-transistor logic integrated circuits. Nat. Commun. 2012, 3, 677.

    Article  Google Scholar 

  18. Sun, D. M.; Timmermans, M. Y.; Kaskela, A.; Nasibulin, A. G.; Kishimoto, S.; Mizutani, T.; Kauppinen, E. I.; Ohno, Y. Mouldable all-carbon integrated circuits. Nat. Commun. 2013, 4, 2302.

    Google Scholar 

  19. Sun, D. M.; Timmermans, M. Y.; Tian, Y.; Nasibulin, A. G.; Kauppinen, E. I.; Kishimoto, S.; Mizutani, T.; Ohno, Y. Flexible high-performance carbon nanotube integrated circuits. Nat. Nano 2011, 6, 156–161.

    Article  Google Scholar 

  20. Ryu, K. M.; Badmaev, A.; Wang, C.; Lin, A.; Patil, N.; Gomez, L.; Kumar, A.; Mitra, S.; Wong, H. S. P.; Zhou, C. W. CMOS-analogous wafer-scale nanotube-on-insulator approach for submicrometer devices and integrated circuits using aligned nanotubes. Nano Lett. 2009, 1, 189–197.

    Article  Google Scholar 

  21. Cao, Q.; Kim, H. S.; Pimparkar, N.; Kulkarni, J. P.; Wang, C. J.; Shim, M.; Roy, K.; Alam, M. A.; Rogers, J. A. Medium-scale carbon nanotube thin-film integrated circuits on flexible plastic substrates. Nature 2008, 454, 495–500.

    Article  Google Scholar 

  22. Yu, W. J.; Kim, U. J.; Kang, B. R.; Lee, I. H.; Lee, E. H.; Lee, Y. H. Adaptive logic circuits with doping-free ambipolar carbon nanotube transistors. Nano Lett. 2009, 9, 1401–1405.

    Article  Google Scholar 

  23. Shulaker, M. M.; Hills, G.; Patil, N.; Wei, H.; Chen, H. Y.; Wong, H. S. P.; Mitra, S. Carbon nanotube computer. Nature 2013, 501, 526–530.

    Article  Google Scholar 

  24. Pei, T.; Zhang, P.; Zhang, Z. Y.; Qiu, C. G.; Liang, S. B.; Yang, Y. J.; Wang, S.; Peng, L. M. Modularized construction of general integrated circuits on individual carbon nanotubes. Nano Lett. 2014, 14, 3102–3109.

    Article  Google Scholar 

  25. Bachtold, A.; Hadley, P.; Nakanishi, T.; Dekker, C. Logic circuits with carbon nanotube transistors. Science 2001, 294, 1317–1320.

    Article  Google Scholar 

  26. Javey, A.; Kim, H.; Brink, M.; Wang, Q.; Ural, A.; Guo, J.; McIntyre, P.; McEuen, P.; Lundstrom, M.; Dai, H. J. High-κ dielectrics for advanced carbon-nanotube transistors and logic gates. Nat. Mater. 2002, 1, 241–246.

    Article  Google Scholar 

  27. Javey, A.; Wang, Q.; Ural, A.; Li, Y. M.; Dai, H. J. Carbon nanotube transistor arrays for multistage complementary logic and ring oscillators. Nano Lett. 2002, 2, 929–932.

    Article  Google Scholar 

  28. Zhang, Z. Y.; Liang, X. L.; Wang, S.; Yao, K.; Hu, Y. F.; Zhu, Y. Z.; Chen, Q.; Zhou, W. W.; Li, Y.; Yao, Y. G.; Zhang, J.; Peng, L. M. Doping-free fabrication of carbon nanotube based ballistic CMOS devices and circuits. Nano Lett. 2007, 7, 3603–3607.

    Article  Google Scholar 

  29. Zhang, Z. Y.; Wang, S.; Wang, Z. X.; Ding, L.; Pei, T.; Hu, Z. D.; Liang, X. L.; Chen, Q.; Li, Y.; Peng, L. M. Almost perfectly symmetric SWCNT-based CMOS devices and scaling. ACS Nano 2009, 3, 3781–3787.

    Article  Google Scholar 

  30. Yan, H.; Choe, H. S.; Nam, S.; Hu, Y. J.; Das, S.; Klemic, J. F.; Ellenbogen, J. C.; Lieber, C. M. Programmable nanowire circuits for nanoprocessors. Nature 2011, 470, 240–244.

    Article  Google Scholar 

  31. Guo, J.; Hasan, S.; Javey, A.; Bosman, G. Assessment of high-frequency performance potential of carbon nanotube transistors. IEEE Trans. Nanotechnol. 2005, 4, 715–721.

    Article  Google Scholar 

  32. Dwyer, C.; Cheung, M.; Sorin, D. J. Semi-empirical SPICE models for carbon nanotube FET logic. In The Proceedings of the Fourth IEEE Conference on Nanotechnology, Munich, Germany, 2004, pp 386–388.

    Google Scholar 

  33. Paul, B. C.; Fujita, S.; Okajima, M.; Thomas, L. Impact of geometry-dependent parasitic capacitances on the performance of CNFET circuits. IEEE Electron Device Lett. 2006, 27, 380–382.

    Article  Google Scholar 

  34. Bansal, A.; Paul, B. C.; Roy, K. Modeling and optimization of fringe capacitance of nanoscale DGMOS devices. IEEE Trans. Electron Devices 2005, 52, 256–262.

    Article  Google Scholar 

  35. Ding, L.; Wang, Z. X.; Pei, T.; Zhang, Z. Y.; Wang, S.; Xu, H. L.; Peng, F.; Li, Y.; Peng, L. M. Self-aligned U-gate carbon nanotube field-effect transistor with extremely small parasitic capacitance and drain-induced barrier lowering. ACS Nano 2011, 5, 2512–2519.

    Article  Google Scholar 

  36. Chen, Z. H.; Appenzeller, J.; Lin, Y. M.; Sippel-Oakley, J.; Rinzler, A. G.; Tang, J. Y.; Wind, S. J.; Solomon, P. M.; Avouris, P. An integrated logic circuit assembled on a single carbon nanotube. Science 2006, 311, 1735–1735.

    Article  Google Scholar 

  37. Wang, Z. X.; Liang, S. B.; Zhang, Z. Y.; Liu, H. G.; Zhong, H.; Ye, L. H.; Wang, S.; Zhou, W. W.; Liu, J.; Chen, Y. B. et al. Scalable fabrication of ambipolar transistors and radiofrequency circuits using aligned carbon nanotube arrays. Adv. Mater. 2014, 26, 645–652.

    Article  Google Scholar 

  38. Natori, K.; Kimura, Y.; Shimizu, T. Characteristics of a carbon nanotube field-effect transistor analyzed as a ballistic nanowire field-effect transistor. J. Appl. Phys. 2005, 97, 034306.

    Article  Google Scholar 

  39. Guo, J.; Lundstrom, M.; Datta, S. Performance projections for ballistic carbon nanotube field-effect transistors. Appl. Phys. Lett. 2002, 80, 3192–3194.

    Article  Google Scholar 

  40. Jie, D.; Wong, H. S. P. A compact SPICE model for carbonnanotube field-effect transistors including nonidealities and its application—Part II: Full device model and circuit performance benchmarking. IEEE Trans. Electron Dev. 2007, 54, 3195–3205.

    Article  Google Scholar 

  41. Jie, D.; Wong, H. S. P. A compact SPICE model for carbon-nanotube field-effect transistors including nonidealities and its application—Part I: Model of the intrinsic channel region. IEEE Trans. Electron Dev. 2007, 54, 3186–3194.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Zhiyong Zhang or Lianmao Peng.

Electronic supplementary material

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhang, P., Yang, Y., Pei, T. et al. Transient response of carbon nanotube integrated circuits. Nano Res. 8, 1005–1016 (2015). https://doi.org/10.1007/s12274-014-0582-0

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s12274-014-0582-0

Keywords

Navigation