Skip to main content

Modeling of DC and AC NBTI Degradation and Recovery for SiON and HKMG MOSFETs

  • Chapter
  • First Online:
Fundamentals of Bias Temperature Instability in MOS Transistors

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 52))

Abstract

In this chapter, a comprehensive model is proposed to describe NBTI degradation in SiON and HKMG p-MOSFETs. The model is based on the physical mechanism of NBTI established in earlier chapters, has mutually uncorrelated trap generation and trapping subcomponents, and can predict ultra-fast measured stress and recovery data under DC and AC stress. Time evolution of NBTI degradation and recovery during and after DC stress as well as during multiple DC stress and recovery cycles for different stress bias, temperature and recovery bias can be successfully explained. The model can explain time evolution of AC degradation for different pulse frequency, duty cycle, and pulse low bias, and can explain measurements after last half or full cycle of AC pulse. The model is consistent with the compact model presented in Chap. 4, and can successfully explain the gate insulator process and material dependence for both SiON and HKMG devices, and can also predict long-time DC and AC degradation to determine NBTI lifetime.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    When (6.10) is inserted in (6.7), the resultant equation shows ΔN IT ~ E OX * exp(ΓIT · E OX) for long stress time, i.e., identical E OX dependence and ΓIT as used in Table 4.1.

  2. 2.

    Since measured data show f independence, the normalization can be done using any f.

References

  1. B.E. Deal, M. Sklar, A.S. Grove, E.H. Snow, Characteristics of the surface state charge (Qss) of thermally oxidized silicon. J. Electrochem. Soc. 114, 266 (1967)

    Article  Google Scholar 

  2. N. Kimizuka, K. Yamaguchi, K. Imai, T. Iizuka, C.T. Liu, R.C. Keller, T. Horiuchi, NBTI enhancement by nitrogen incorporation into ultrathin gate oxide for 0.10-μm gate CMOS generation, in Symposium on VLSI Technology: Digest of Technical Papers, p. 92 (2000)

    Google Scholar 

  3. S. Tsujikawa, T. Mine, K. Watanabe, Y. Shimamoto, R. Tsuchiya, K. Ohnishi, T. Onai, J. Yugami, S. Kimura, Negative bias temperature instability of pMOSFETs with ultra-thin SiON gate dielectrics, in IEEE International Reliability Physics Symposium Proceedings, p. 183 (2003)

    Google Scholar 

  4. V. Huard, M. Denais, F. Perrier, N. Revil, C. Parthasarathy, A. Bravaix, E. Vincent, A thorough investigation of MOSFETs NBTI degradation. Microelectron. Reliab. 45, 83 (2005)

    Article  Google Scholar 

  5. S. Mahapatra, K. Ahmed, D. Varghese, A.E. Islam, G. Gupta, L. Madhav, D. Saha, M.A. Alam, On the physical mechanism of NBTI in silicon oxynitride p-MOSFETs: can differences in insulator processing conditions resolve the interface trap generation versus hole trapping controversy?, in IEEE International Reliability Physics Symposium Proceedings, p. 1 (2007)

    Google Scholar 

  6. Y. Mitani, H. Satake, A. Toriumi, Influence of nitrogen on negative bias temperature instability in Ultrathin SiON. IEEE Trans. Device Mater. Reliab. 8, 6 (2008)

    Article  Google Scholar 

  7. V.D. Maheta, C. Olsen, K. Ahmed, S. Mahapatra, The impact of nitrogen engineering in silicon oxynitride gate dielectric on negative-bias temperature instability of p-MOSFETs: a study by ultrafast on-the-fly IDLIN technique. IEEE Trans. Electron Devices 55, 1630 (2008)

    Article  Google Scholar 

  8. M. Rafik, X. Garros, G. Ribes, G. Ghibaudo, C. Hobbs, A. Zauner, M. Muller, V. Huard, C. Ouvard, Impact of TiN Metal gate on NBTI assessed by interface states and fast transient effect characterization, in IEEE International Electron Devices Meeting Technical Digest, p. 825 (2007)

    Google Scholar 

  9. S. Pae, M. Agostinelli, M. Brazier, R. Chau, G. Dewey, T. Ghani, M. Hattendorf, J. Hicks, J. Kavalieros, K. Kuhn, M. Kuhn, J. Maiz, M. Metz, K. Mistry, C. Prasad, S. Ramey, A. Roskowski, J. Sandford, C. Thomas, J. Thomas, C. Wiegand, J. Wiedemer, BTI reliability of 45 nm high-K + metal-gate process technology, in IEEE International Reliability Physics Symposium Proceedings, p. 352 (2008)

    Google Scholar 

  10. S. Deora, V.D. Maheta, G. Bersuker, C. Olsen, K.Z. Ahmed, R. Jammy, S. Mahapatra, A Comparative NBTI study of HfO2/HfSiOx, and SiON p-MOSFETs using UF-OTF IDLIN technique. IEEE Electron Device Lett. 30, 152 (2009)

    Article  Google Scholar 

  11. X. Garros, M. Casse, C. Fenouillet-Beranger, G. Reimbold, F. Martin, C. Gaumer, C. Wiemer, M. Perego, F. Boulanger, Detrimental impact of technological processes on BTI reliability of advanced high-K/metal gate stacks, in IEEE International Reliability Physics Symposium Proceedings, p. 362 (2009)

    Google Scholar 

  12. S. Pae, A. Ashok, T. Ghani, K. Lemay, M. Liu, R. Lu, P. Packan, C. Parker, R. Purser, A. St. Amour, B. Woolery, Reliability characterization of 32 nm high-K and Metal-Gate logic transistor technology, in IEEE International Reliability Physics Symposium Proceedings, p. 287 (2010)

    Google Scholar 

  13. E. Cartier, A. Kerber, T. Ando, M.M. Frank, K. Choi, S. Krishnan, B. Linder, K. Zhao, F. Monsieur, J. Stathis, V. Narayanan, Fundamental aspects of HfO2-based high-k metal gate stack reliability and implications on tinv-scaling, in IEEE International Electron Devices Meeting Technical Digest, p. 18.4.1 (2011)

    Google Scholar 

  14. S. Krishnan, V. Narayanan, E. Cartier, D. Ioannou, K. Zhao, T. Ando, U. Kwon, B. Linder, J. Stathis, M. Chudzik, A. Kerber, K. Choi, Bias temperature instability in High-κ/metal gate transistors—Gate stack scaling trends, in IEEE International Reliability Physics Symposium Proceedings, p. 5A.1.1 (2012)

    Google Scholar 

  15. K. Joshi, S. Hung, S. Mukhopadhyay, V. Chaudhary, N. Nanaware, B. Rajamohnan, T. Sato, M. Bevan, A. Wei, A. Noori, B. McDougal, C. Ni, G. Saheli, C. Lazik, P. Liu, D. Chu, L. Date, S. Datta, A. Brand, J. Swenberg, S. Mahapatra, HKMG process impact on N, P BTI: Role of thermal IL scaling, IL/HK integration and post HK nitridation, in IEEE International Reliability Physics Symposium Proceedings, p. 4C.2.1 (2013)

    Google Scholar 

  16. W. McMahon, C. Tian, S. Uppal, H. Kothari, M. Jin, G. LaRosa, T. Nigam, A. Kerber, B.P. Linder, E. Cartier, W.L. Lai, Y. Liu, R. Ramachandran, U. Kwon, B. Parameshwaran, S. Krishnan, V. Narayanan, Intrinsic dielectric stack reliability of a high performance bulk planar 20 nm replacement gate high-k metal gate technology and comparison to 28 nm gate first high-k metal gate process, in IEEE International Reliability Physics Symposium Proceedings, p. 4C.4.1 (2013)

    Google Scholar 

  17. S. Ramey, A. Ashutosh, C. Auth, J. Clifford, M. Hattendorf, J. Hicks, R. James, A. Rahman, V. Sharma, A. St. Amour, C. Wiegand, Intrinsic transistor reliability improvements from 22 nm tri-gate technology, in IEEE International Reliability Physics Symposium Proceedings, p. 4C.5.1 (2013)

    Google Scholar 

  18. A.T. Krishnan, V. Reddy, S. Chakravarthi, J. Rodriguez, S. John, S. Krishnan, NBTI impact on transistor and circuit: models, mechanisms and scaling effects [MOSFETs], in IEEE International Electron Devices Meeting Technical Digest, p. 14.5.1 (2003)

    Google Scholar 

  19. V. Reddy, J. Carulli, A. Krishnan, W. Bosch, B. Burgess, Impact of negative bias temperature instability on product parametric drift, in International Test Conference, p. 148 (2004)

    Google Scholar 

  20. A. Haggag, G. Anderson, S. Parohar, D. Burnett, G. Abeln, J. Higman, M. Moosa, Understanding SRAM high-temperature-operating-life NBTI: statistics and permanent vs recoverable damage, in IEEE International Reliability Physics Symposium Proceedings, p. 452 (2007)

    Google Scholar 

  21. V. Huard, R. Chevallier, C. Parthasarathy, A. Mishra, N. Ruiz-Amador, F. Persin, V. Robert, A. Chimeno, E. Pion, N. Planes, D. Ney, F. Cacho, N. Kapoor, V. Kulshrestha, S. Chopra, N. Vialle, Managing SRAM reliability from bitcell to library level, in IEEE International Reliability Physics Symposium Proceedings, p. 655 (2010)

    Google Scholar 

  22. A.T. Krishnan, F. Cano, C. Chancellor, V. Reddy, Z. Qi, P. Jain, J. Masin, S. Zuhoski, S. Krishnan, J. Ondrusek, Product drift from NBTI : guardbanding, circuit and statistical effects, in IEEE International Electron Devices Meeting Technical Digest, p. 4.3.1 (2010)

    Google Scholar 

  23. D.P. Ioannou, S. Mittl, D. Brochu, Burn-in stress induced BTI degradation and post-burn-in high temperature anneal (Bake) effects in advanced HKMG and oxynitride based CMOS ring oscillators, in IEEE International Reliability Physics Symposium Proceedings, p. 5C.2.1 (2012)

    Google Scholar 

  24. S. Mahapatra, V. Huard, A. Kerber, V. Reddy, S. Kalpat, A. Haggag, Universality of NBTI—From devices to circuits and products, in IEEE International Reliability Physics Symposium Proceedings, p. 3B.1.1 (2014)

    Google Scholar 

  25. S. Mahapatra, N. Goel, S. Desai, S. Gupta, B. Jose, S. Mukhopadhyay, K. Joshi, A. Jain, A.E. Islam, M.A. Alam, A comparative study of different physics-based NBTI models. IEEE Trans. Electron Devices 60, 901 (2013)

    Article  Google Scholar 

  26. S. Rangan, N. Mielke, E.C. C. Yeh, Universal recovery behavior of negative bias temperature instability [PMOSFETs], in IEEE International Electron Devices Meeting Technical Digest, p. 14.3.1 (2003)

    Google Scholar 

  27. H. Reisinger, O. Blank, W. Heinrigs, A. Muhlhoff, W. Gustin, C. Schlunder, Analysis of NBTI Degradation- and Recovery-Behavior Based on Ultra Fast VT-Measurements, in IEEE International Reliability Physics Symposium Proceedings, p. 448 (2006)

    Google Scholar 

  28. T. Grasser, W. Gos, V. Sverdlov, B. Kaczer, The Universality of NBTI relaxation and its implications for modeling and characterization, in IEEE International Reliability Physics Symposium Proceedings, p. 268 (2007)

    Google Scholar 

  29. B. Kaczer, T. Grasser, J. Roussel, J. Martin-Martinez, R. O’Connor, B.J. O’Sullivan, G. Groeseneken, Ubiquitous relaxation in BTI stressing—New evaluation and insights, in IEEE International Reliability Physics Symposium Proceedings, p. 20 (2008)

    Google Scholar 

  30. C. Shen, M.-F. Li, C.E. Foo, T. Yang, D.M. Huang, A. Yap, G.S. Samudra, Y.-C. Yeo, Characterization and physical origin of fast Vth transient in NBTI of pMOSFETs with SiON dielectric, in IEEE International Electron Devices Meeting Technical Digest, doi:10.1109/IEDM.2006.346776 (2006)

  31. E.N. Kumar, V.D. Maheta, S. Purawat, A.E. Islam, C. Olsen, K. Ahmed, M.A. Alam, S. Mahapatra, Material dependence of NBTI physical mechanism in silicon oxynitride (SiON) p-MOSFETs: a comprehensive study by ultra-fast on-the-fly (UF-OTF) IDLIN technique, in IEEE International Electron Devices Meeting Technical Digest, p. 809 (2007)

    Google Scholar 

  32. N. Goel, N. Nanaware, S. Mahapatra, Ultrafast AC–DC NBTI characterization of deep IL scaled HKMG p-MOSFETs. IEEE Electron Device Lett. 34, 1476 (2013)

    Article  Google Scholar 

  33. A.E. Islam, H. Kufluoglu, D. Varghese, S. Mahapatra, M.A. Alam, Recent issues in negative-bias temperature instability: initial degradation, field dependence of interface trap generation, hole trapping effects, and relaxation. IEEE Trans. Electron Devices 54, 2143 (2007)

    Article  Google Scholar 

  34. D. Varghese, D. Saha, S. Mahapatra, K. Ahmed, F. Nouri, M. Alam, On the dispersive versus arrhenius temperature activation of NBTI time evolution in plasma nitrided gate oxides: measurements, theory, and implications, in IEEE International Electron Devices Meeting Technical Digest, p. 684 (2005)

    Google Scholar 

  35. S. Mahapatra, P. Bharath Kumar, M.A. Alam, Investigation and modeling of interface and bulk trap generation during negative bias temperature instability of p-MOSFETs. IEEE Trans. Electron Devices 51, 1371 (2004)

    Article  Google Scholar 

  36. C.L. Chen, Y.M. Lin, C.J. Wang, K. Wu, A new finding on NBTI lifetime model and an investigation on NBTI degradation characteristic for 1.2 nm ultra thin oxide, in IEEE International Reliability Physics Symposium Proceedings, p. 704 (2005)

    Google Scholar 

  37. A. Islam, G. Gupta, S. Mahapatra, A.T. Krishnan, K. Ahmed, F. Nouri, A. Oates, M.A. Alam, Gate leakage vs. NBTI in plasma nitrided oxides: characterization, physical principles, and optimization, in IEEE International Electron Devices Meeting Technical Digest, doi:10.1109/IEDM.2006.346775 (2006)

  38. H. Aono, E. Murakami, K. Okuyama, A. Nishida, M. Minami, Y. Ooji, K. Kubota, Modeling of NBTI degradation and its impact on electric field dependence of the lifetime, in IEEE International Reliability Physics Symposium Proceedings, p. 25 (2004)

    Google Scholar 

  39. A. Haggag, K. Forbes, G. Anderson, D. Burnett, P. Abramowitz, M. Moosa, Product failures: power-law or exponential voltage dependence? in IEEE International Reliability Physics Symposium Proceedings, p. 125 (2010)

    Google Scholar 

  40. A.T. Krishnan, C. Chancellor, S. Chakravarthi, P.E. Nicollian, V. Reddy, A. Varghese, R.B. Khamankar, S. Krishnan, Material dependence of hydrogen diffusion: implications for NBTI degradation, in IEEE International Electron Devices Meeting Technical Digest, p. 688 (2005)

    Google Scholar 

  41. B. Kaczer, V. Arkbipov, R. Degraeve, N. Collaert, G. Groeseneken, M. Goodwin, Disorder-controlled-kinetics model for negative bias temperature instability and its experimental verification, in IEEE International Reliability Physics Symposium Proceedings, p. 381 (2005)

    Google Scholar 

  42. N. Goel, K. Joshi, S. Mukhopadhyay, N. Nanaware, S. Mahapatra, A comprehensive modeling framework for gate stack process dependence of DC and AC NBTI in SiON and HKMG p-MOSFETs. Microelectron. Reliab. 54, 491 (2014)

    Article  Google Scholar 

  43. Y.S. Tsai, N.K. Jha, Y.H. Lee, R. Ranjan, W. Wang, J.R. Shih, M.J. Chen, J.H. Lee, K. Wu, Prediction of NBTI degradation for circuit under AC operation, in IEEE International Reliability Physics Symposium Proceedings, p. 665 (2010)

    Google Scholar 

  44. R. Fernández, B. Kaczer, A. Nackaerts, S. Demuynck, R. Rodríguez, M. Nafría, G. Groeseneken, AC NBTI studied in the 1 Hz–2 GHz range on dedicated on-chip CMOS circuits, in IEEE International Electron Devices Meeting Technical Digest, doi:10.1109/IEDM.2006.346777 (2006)

  45. T. Grasser, B. Kaczer, W. Goes, An energy-level perspective of bias temperature instability, in IEEE International Reliability Physics Symposium Proceedings, p. 28 (2008)

    Google Scholar 

  46. A.E. Islam, S. Mahapatra, S. Deora, V.D. Maheta, M.A. Alam, On the differences between ultra-fast NBTI measurements and Reaction-Diffusion theory, in IEEE International Electron Devices Meeting Technical Digest, doi:10.1109/IEDM.2009.5424236 (2009)

  47. H. Reisinger, T. Grasser, W. Gustin, C. Schlunder, The statistical analysis of individual defects constituting NBTI and its implications for modeling DC- and AC-stress, in IEEE International Reliability Physics Symposium Proceedings, p. 7 (2010)

    Google Scholar 

  48. S. Mahapatra, A.E. Islam, S. Deora, V.D. Maheta, K. Joshi, A. Jain, M.A. Alam, A critical re-evaluation of the usefulness of R-D framework in predicting NBTI stress and recovery, in International Reliability Physics Symposium Proceedings, p. 6A.3.1 (2011)

    Google Scholar 

  49. W.J. Liu, Z.Y. Liu, D. Huang, C.C. Liao, L.F. Zhang, Z.H. Gan, W. Wong, C. Shen, M.-F. Li, On-the-fly interface trap measurement and its impact on the understanding of NBTI mechanism for p-MOSFETs with SiON gate dielectric, in IEEE International Electron Devices Meeting Technical Digest, p. 813 (2007)

    Google Scholar 

  50. A. Neugroschel, G. Bersuker, R. Choi, Applications of DCIV method to NBTI characterization. Microelectron. Reliab. 47, 1366 (2007)

    Article  Google Scholar 

  51. V. Huard, Two independent components modeling for Negative Bias Temperature Instability, in IEEE International Reliability Physics Symposium Proceedings, p. 33 (2010)

    Google Scholar 

  52. G. Chen, M.-F. Li, C.H. Ang, J.Z. Zheng, D.L. Kwong, Dynamic NBTI of p-MOS transistors and its impact on MOSFET scaling. IEEE Electron Device Lett. 23, 734 (2002)

    Article  Google Scholar 

  53. J.H. Stathis, G. La Rosa, A. Chou, Broad energy distribution of NBTI-induced interface states in p-MOSFETs with ultra-thin nitrided oxide, in IEEE International Reliability Physics Symposium Proceedings, doi:10.1109/RELPHY.2004.1315292 (2004)

  54. J.P. Campbell, P.M. Lenahan, A.T. Krishnan, S. Krishnan, NBTI: an atomic-scale defect perspective, in IEEE International Reliability Physics Symposium Proceedings, p. 442 (2006)

    Google Scholar 

  55. S. Mukhopadhyay, K. Joshi, V. Chaudhary, N. Goel, S. De, R.K. Pandey, K.V.R.M. Murali, S. Mahapatra, NBTI: an atomic-scale defect perspective, in IEEE International Reliability Physics Symposium Proceedings, p. GD.3.1 (2014)

    Google Scholar 

  56. G. Kapila, N. Goyal, V.D. Maheta, C. Olsen, K. Ahmed, S. Mahapatra, A comprehensive study of flicker noise in plasma nitride SiON p-MOSFETs: process dependence of pre-existing and NBTI stress generated trap distribution profiles, in IEEE International Electron Devices Meeting Technical Digest, doi:10.1109/IEDM.2008.4796625 (2008)

  57. S. Mahapatra, S. De, K. Joshi, S. Mukhopadhyay, R.K. Pandey, K.V.R.M. Murali, Understanding process impact of hole traps and NBTI in HKMG p-MOSFETs using measurements and atomistic simulations. IEEE Electron Device Lett. 8, 963 (2013)

    Article  Google Scholar 

  58. B. Kaczer, T. Grasser, J. Martin-Martinez, E. Simoen, M. Aoulaiche, P.J. Roussel, G. Groeseneken, NBTI from the perspective of defect states with widely distributed time scales, in IEEE International Reliability Physics Symposium Proceedings, p. 26 (2009)

    Google Scholar 

  59. D.S. Ang, S. Wang, Recovery of the NBTI-stressed ultrathin gate p-MOSFET: the role of deep-level hole traps. IEEE Electron Device Lett. 27, 914 (2006)

    Article  Google Scholar 

  60. D. Ielmini, M. Manigrasso, F. Gattel, G. Valentini, A new NBTI model based on hole trapping and structural relaxation in MOS dielectrics. IEEE Trans. Electron Device 56, 1943 (2009)

    Article  Google Scholar 

  61. H. Reisinger, T. Grasser, K. Ermisch, H. Nielen, W. Gustin, C. Schlunder, Understanding and modeling AC BTI, in IEEE International Reliability Physics Symposium Proceedings, p. 6A.1.1 (2011)

    Google Scholar 

  62. T. Grasser, B. Kaczer, H. Reisinger, P.J. Wagner, M. Toledano-Luque, On the frequency dependence of the bias temperature stability, in IEEE International Reliability Physics Symposium Proceedings, p. XT.8.1 (2012)

    Google Scholar 

  63. T. Grasser, Stochastic charge trapping in oxides: from random telegraph noise to bias temperature instabilities. Microelectron. Reliab. 52, 39 (2012)

    Article  Google Scholar 

  64. T. Grasser, B. Kaczer, W. Goes, T. Aichinger, P. Hehenberger, M. Nelhiebel, A two stage model for negative bias temperature instability, in IEEE International Reliability Physics Symposium Proceedings, p. 33 (2009)

    Google Scholar 

  65. K. Sakuma, D. Matsushita, K. Muraoka, Y. Mitani, Investigation of nitrogen-originated NBTI mechanism in SiON with high-nitrogen concentration, in IEEE International Reliability Physics Symposium Proceedings, p. 454 (2006)

    Google Scholar 

  66. J.H. Lee, W.H. Wu, A.E. Islam, M.A. Alam, A.S. Oates, Separation method of hole trapping and interface trap generation and their roles in NBTI Reaction-Diffusion model, in IEEE International Reliability Physics Symposium Proceedings, p. 745 (2008)

    Google Scholar 

  67. S. Mahapatra, V.D. Maheta, A.E. Islam, M.A. Alam, Isolation of NBTI stress generated interface trap and hole-trapping components in PNO p-MOSFETs. IEEE Trans. Electron Devices 56, 236 (2009)

    Article  Google Scholar 

  68. M. Jo, M. Chang, S. Kim, H.S. Jung, R. Choi, H. Hwang, Contribution of interface states and oxide traps to the negative bias temperature instability of high-k pMOSFETs. IEEE Electron Device Lett. 30, 291 (2009)

    Article  Google Scholar 

  69. T. Grasser, P. Wagner, H. Reisinger, T. Aichinger, G. Pobegen, M. Nelhiebel, B. Kaczer, Analytic modeling of the bias temperature instability using capture/emission time maps, in IEEE International Electron Devices Meeting Technical Digest, p. 27.4.1 (2011)

    Google Scholar 

  70. D. Varghese, PhD Dissertation, Multi-probe experimental and ‘bottom-up’ computational analysis of correlated defect generation in modern nanoscale transistors (Purdue University, West Lafayette, 2009)

    Google Scholar 

  71. J. Yang, M. Masuduzzaman, K. Joshi, S. Mukhopadhyay, J. Kang, S. Mahapatra, M.A. Alam, Intrinsic correlation between PBTI and TDDB degradations in nMOS HK/MG dielectrics, in IEEE International Reliability Physics Symposium Proceedings, p. 5D.4.1 (2012)

    Google Scholar 

  72. K. Joshi, S. Mukhopadhyay, N. Goel, S. Mahapatra, A consistent physical framework for N and P BTI in HKMG MOSFETs, in IEEE International Reliability Physics Symposium Proceedings, p. 5A.3.1 (2012)

    Google Scholar 

  73. K. Joshi, S. Mukhopadhyay, N. Goel, N. Nanaware, S. Mahapatra, A detailed study of gate insulator process dependence of NBTI using a compact model. IEEE Trans. Electron Devices 61, 408 (2014)

    Article  Google Scholar 

  74. S. Tsujikawa, J. Yugami, Positive charge generation due to species of hydrogen during NBTI phenomenon in pMOSFETs with ultra-thin SiON gate dielectrics. Microelectron. Reliab. 45, 65 (2005)

    Article  Google Scholar 

  75. T. Grasser, K. Rott, H. Reisinger, M. Waltl, P. Wagner, F. Schanovsky, W. Goes, G. Pobegen, B. Kaczer, Hydrogen-related volatile defects as the possible cause for the recoverable component of NBTI, in IEEE International Electron Devices Meeting Technical Digest, p. 9 (2013)

    Google Scholar 

  76. T. Grasser, M. Waltl, W. Goes, Y. Wimmer, A.M. El-Sayed, A.L. Shluger, B. Kaczer, On the volatility of oxide defects: activation, deactivation, and transformation’, in IEEE International Reliability Physics Symposium Proceedings, p. 5A.3 (2015)

    Google Scholar 

  77. K.O. Jeppson, C.M. Svensson, Negative bias stress of MOS devices at high electric-fields and degradation of MNOS devices. J. Appl. Phys. 48, 2004 (1977)

    Article  Google Scholar 

  78. M.A. Alam, A critical examination of the mechanics of dynamic NBTI for PMOSFETs, in IEEE International Electron Devices Meeting Technical Digest, p. 14.4.1 (2003)

    Google Scholar 

  79. S. Chakravarthi, A. Krishnan, V. Reddy, C.F. Machala, S. Krishnan, A comprehensive framework for predictive modeling of negative bias temperature instability, in IEEE International Reliability Physics Symposium Proceedings, p. 273 (2004)

    Google Scholar 

  80. M.A. Alam, S. Mahapatra, A comprehensive model of PMOS NBTI degradation. Microelectron. Reliab. 45, 71 (2005)

    Article  Google Scholar 

  81. M. Alam, H. Kufluoglu, D. Varghese, S. Mahapatra, A comprehensive model for PMOS NBTI degradation: recent progress. Microelectron. Reliab. 47, 853 (2007)

    Article  Google Scholar 

  82. F. Schanovsky, T. Grasser, On the microscopic limit of the modified reaction-diffusion model for the negative bias temperature instability, in IEEE International Reliability Physics Symposium Proceedings, p. XT.10.1 (2012)

    Google Scholar 

  83. T. Naphade, N. Goel, P.R. Nair, S. Mahapatra, Investigation of stochastic implementation of reaction diffusion (RD) models for NBTI related interface trap generation, in IEEE international reliability physics symposium proceedings, p. XT.5.1 (2013)

    Google Scholar 

  84. T. Grasser, K. Rott, H. Reisinger, M. Waltl, F. Schanovsky, B. Kaczer, NBTI in nanoscale MOSFETs—The ultimate modeling benchmark. IEEE Trans. Electron Devices 61, 358 (2014)

    Article  Google Scholar 

  85. S. Desai, S. Mukhopadhyay, N. Goel, N. Nanaware, B. Jose, K. Joshi, S. Mahapatra, A comprehensive AC/DC NBTI model: stress, recovery, frequency, duty cycle and process dependence, in IEEE International Reliability Physics Symposium Proceedings, p. XT.2.1 (2013)

    Google Scholar 

  86. N. Goel, S. Mukhopadhyay, N. Nanaware, S. De, R.K. Pandey, K.V.R.M. Murali, S. Mahapatra, A comprehensive DC/AC model for ultra-fast NBTI in deep EOT scaled HKMG p-MOSFETs, in IEEE International Reliability Physics Symposium Proceedings, p. 6A.4.1 (2014)

    Google Scholar 

  87. N. Goel, T. Naphade, S. Mahapatra, Combined trap generation and transient trap occupancy model for time evolution of NBTI during DC multi-cycle and AC atress, in IEEE International Reliability Physics Symposium Proceedings, p. 4A.3 (2015)

    Google Scholar 

  88. S. Gupta, B. Jose, K. Joshi, A. Jain, M. A. Alam, S. Mahapatra, A comprehensive and critical re-assessment of 2-stage energy level NBTI model, in IEEE International Reliability Physics Symposium Proceedings, p. XT.3.1 (2012)

    Google Scholar 

  89. W. Tsai, L.-A. Ragnarsson, L. Pantisano, P.J. Chen, B. Onsia, T. Schram, E. Cartier, A. Kerber, E. Young, M. Caymax, S. De Gendt, M. Heyns, Performance comparison of sub 1 nm sputtered TiN/HfO2 nMOS and pMOSFETs, in IEEE International Electron Devices Meeting Technical Digest, p. 13.2.1 (2003)

    Google Scholar 

  90. M.J. Bevan, R. Curtis, T. Guarini, W. Liu, S.C.H. Hung, H. Graoui, Ultrathin SiO2 interface layer growth, in International Conference on Advanced Thermal Processing of Semiconductors (RTP), p. 154 (2010)

    Google Scholar 

  91. P.A. Kraus, K.Z. Ahmed, C.S. Olsen, F. Nouri, Physical models for predicting plasma nitrided Si–O–N gate dielectric properties from physical metrology. IEEE Electron Device Lett. 24, 559 (2003)

    Article  Google Scholar 

  92. C. Olsen, Two-step Post Nitridation Annealing for Lower EOT Plasma Nitrided Gate Dielectrics, in WO2004081984 p. A2 (2004)

    Google Scholar 

  93. M.L. Reed, J.D. Plummer, Chemistry of Si–SiO2 interface trap annealing. J. Appl. Phys. 63, 5776 (1988)

    Article  Google Scholar 

  94. A.E. Islam, E.N. Kumar, H. Das, S. Purawat, V. Maheta, H. Aono, E. Murakami, S. Mahapatra, M.A. Alam, Theory and practice of on-the-fly and ultra-fast VT measurements for NBTI degradation: challenges and opportunities, in IEEE International Electron Devices Meeting Technical Digest, p. 805 (2007)

    Google Scholar 

  95. M.-H. Hsieh, D. Maji, Y.-C. Huang, T.-Y. Yew, W. Wang, Y.-H. Lee, J.R. Shih, K. Wu, Frequency dependence of NBTI in high-k/metal-gate technology, in IEEE International Reliability Physics Symposium Proceedings, p. XT.3.1 (2014)

    Google Scholar 

Download references

Acknowledgment

The authors would like to acknowledge Sandip De, Rajan Pandey and K.V.R.M. Murali for DFT calculations, Vrajesh Maheta for NBTI measurements in SiON devices, Subhadeep Mukhopadhyay for DCIV and Bijesh Rajamohanan for flicker noise measurements in HKMG devices, Tejas Naphade for his contribution and useful discussion, Applied Materials for devices used in this study, and Ankush Chaudhary for editorial support.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Souvik Mahapatra .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer India

About this chapter

Cite this chapter

Goel, N., Mahapatra, S. (2016). Modeling of DC and AC NBTI Degradation and Recovery for SiON and HKMG MOSFETs. In: Mahapatra, S. (eds) Fundamentals of Bias Temperature Instability in MOS Transistors. Springer Series in Advanced Microelectronics, vol 52. Springer, New Delhi. https://doi.org/10.1007/978-81-322-2508-9_6

Download citation

  • DOI: https://doi.org/10.1007/978-81-322-2508-9_6

  • Published:

  • Publisher Name: Springer, New Delhi

  • Print ISBN: 978-81-322-2507-2

  • Online ISBN: 978-81-322-2508-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics