Skip to main content

Performance Evaluation of Evolutionary Multi-core and Aggressively Multi-threaded Processor Architectures

  • Conference paper
  • 907 Accesses

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 4697))

Abstract

Processor architecture is undergoing a significant change in response to the rapidly escalating complexities of high-power, high-frequency, and increasingly superscalar designs. Evolutionary multi-core and aggressively multi-threaded chips are appearing in the general purpose microprocessor space. The latter offer simplicity, low power, and high performance on threaded workloads but with somewhat reduced single thread performance. This paper examines the performance of the SPARC64(TM) VI, a dual-core 4-thread processor, and the UltraSPARC(TM) T1, an 8-core 32-thread processor. Numerous workloads are executed on both designs. These include single thread speed tests, homogeneous throughput tests, and multi-threaded tests using varying amounts of data and parallelism. The results indicate a clear separation in the workloads that are best suited to each design. To reap the full benefit of these multi-threaded designs, software has to be architected to use as many threads as possible. This shift is likely to affect both software developers and compiler writers for the next several years.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Tendler, J.M., et al.: Power4 System Micro-architecture. IBM Journal of Research and Development 46(1), 5–26 (2002)

    Article  Google Scholar 

  2. Keltcher, C., McGrath, K., Ahmed, A., Conway, P.: The AMD Opteron Processor forMultiprocessor Servers. IEEE Micro. 23(2), 66–76 (2003)

    Article  Google Scholar 

  3. Krewell, K.: UltraSPARC IV Mirrors Predecessor - Sun Builds Dual-Core Chip in 130nm. Microprocessor Report, In-Stat/MDR (November 10, 2003)

    Google Scholar 

  4. McGregor, J.: A Day at the Races - AMD and Intel Rush Dual-Core Introductions.Microprocessor Report. In-Stat/MDR (May 9, 2005)

    Google Scholar 

  5. Kalla, R., Sinharoy, B., Tendler, J.: IBM Power5 Chip: A Dual-Core Multi-threaded Processor. IEEE Micro. 24(2), 40–47 (2004)

    Article  Google Scholar 

  6. Kongetira, P., Aingaran, K., Olukotun, K.: Niagara: A 32-Way Multi-threaded SPARCProcessor. IEEE Micro. 25(2), 21–29 (2005)

    Article  Google Scholar 

  7. Tendler, J.M., et al.: Power4 System Micro-architecture. IBM Journal of Research and Development 46(1), 5–26 (2002)

    Google Scholar 

  8. Keltcher, C., McGrath, K., Ahmed, A., Conway, P.: The AMD Opteron Processor forMultiprocessor Servers. IEEE Micro. 23(2), 66–76 (2003)

    Article  Google Scholar 

  9. Krewell, K.: UltraSPARC IV Mirrors Predecessor - Sun Builds Dual-Core Chip in 130nm. Microprocessor Report, In-Stat/MDR (November 10, 2003)

    Google Scholar 

  10. McGregor, J.: A Day at the Races - AMD and Intel Rush Dual-Core Introductions.Microprocessor Report. In-Stat/MDR (May 9, 2005)

    Google Scholar 

  11. Kalla, R., Sinharoy, B., Tendler, J.: IBM Power5 Chip: A Dual-Core Multi-threaded Processor. IEEE Micro. 24(2), 40–47 (2004)

    Article  Google Scholar 

  12. Kongetira, P., Aingaran, K., Olukotun, K.: Niagara: A 32-Way Multi-threaded SPARCProcessor. IEEE Micro. 25(2), 21–29 (2005)

    Article  Google Scholar 

  13. Krewell, K.: Are Instruction Sets Irrelevant? - Scott Sellers’ Azul Systems Bets On It. Microprocessor Report, In-Stat/MDR (February 14, 2005)

    Google Scholar 

  14. Tullsen, D.M., Eggers, S.J., Levy, H.M.: Simultaneous Multi-threading: Maximizing On-Chip Parallelism. In: ISCA 1995. Proceedings of the 22nd International Symposium on Computer Architecture, pp. 392–403 (June 1995)

    Google Scholar 

  15. Davis, J.D., Laudon, J., Olukotun, K.: Maximizing CMP Throughput With Mediocre Cores. In: Malyshkin, V. (ed.) PaCT 2005. LNCS, vol. 3606, pp. 51–62. Springer, Heidelberg (2005)

    Google Scholar 

  16. Alameldeen, A.R., Mauer, C.J., et al.: Evaluating Non-deterministic Multi-threaded Commercial Workloads. In: CAECW 2002. Proceedings of the 5th Workshop on Computer Architecture Evaluation Using Commercial Workloads (February 2002)

    Google Scholar 

  17. Barroso, L., Gharachorloo, K., McNamara, R., et al.: Memory System Characterization of Commercial Workloads. In: ISCA 1998. Proceedings of the 25th International Symposium on ComputerArchitecture, pp. 3–14 (June 1998)

    Google Scholar 

  18. McGhan, H.: SPARC64 VI: Ready for Prime Time. Microprocessor Report, In-Stat/MDR (October 23, 2006)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Lynn Choi Yunheung Paek Sangyeun Cho

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Tirumalai, P., Song, Y., Kalogeropulos, S. (2007). Performance Evaluation of Evolutionary Multi-core and Aggressively Multi-threaded Processor Architectures. In: Choi, L., Paek, Y., Cho, S. (eds) Advances in Computer Systems Architecture. ACSAC 2007. Lecture Notes in Computer Science, vol 4697. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-74309-5_27

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-74309-5_27

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-74308-8

  • Online ISBN: 978-3-540-74309-5

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics